Kreiranje VHDL k ô da za malu potrošnju

  • Upload
    rafael

  • View
    37

  • Download
    4

Embed Size (px)

DESCRIPTION

Kreiranje VHDL k ô da za malu potrošnju. Kandidat: Vladimir Kovačević Br.ind. 9092. Sadržaj. Uvod Izvori disipacije Strategije za smanjenje potrošnje Metode projektovanja za malu potrošnju Optimizacija potrošnje ALU -a Zaključak. Uvod. - PowerPoint PPT Presentation

Citation preview

  • Kreiranje VHDL kda za malu potronju Kandidat: Vladimir Kovaevi Br.ind. 9092

    Elektronski fakultet Ni

    SadrajUvodIzvori disipacije Strategije za smanjenje potronjeMetode projektovanja za malu potronjuOptimizacija potronje ALU-aZakljuak

    Elektronski fakultet Ni

    Uvod Zahtevi za mobilnou postavljaju ogranienja u veliini, teini i potronji energije. (sateliti, mobilni telefoni, multimedija, wireless ureaji, notebook, instrumenti, medicinski ureaji, industrijski ureaji i mnogi drugi) Uteda u potronji je vana i za neprenosive aplikacije.

    Elektronski fakultet Ni

    UvodYour carstarter !

    Elektronski fakultet Ni

    VDD, Power and Current TrendYearVoltage [V]Power per chip [W]VDD current [A]1998200220062010201400.511.522.500200500CurrentPowerVoltageInternational Technology Roadmap for Semiconductors 1999 update sponsored by the Semiconductor Industry Association in cooperation with European Electronic Component Association (EECA) , Electronic Industries Association of Japan (EIAJ), Korea Semiconductor Industry Association (KSIA), and Taiwan Semiconductor Industry Association (TSIA)(* Taken from Sakurais ISSCC 2001 presentation)

    Elektronski fakultet Ni

    Prilikom projektovanja VLSI kola pored optimizacija za velike brzine i male povrine neophodna je i optimizacija za malu potronju.

    Uvod CPU DSP ASIC FPGA SoC

    Elektronski fakultet Ni

    SadrajUvodIzvori disipacije Strategije za smanjenje potronjeMetode projektovanja za malu potronjuOptimizacija potronje ALU-aZakljuak

    Elektronski fakultet Ni

    Izvori disipacije Ukupna potronja CMOS kola je:Pdynamic, dinamika disipacija snage; Pstatic, statika disipacija snage Pcap, komutirana snaga Pscc, snaga usled kratkog spoja VDD, napon napajanja Ileakage, struja curenja

    Elektronski fakultet Ni

    SadrajUvodIzvori disipacije Strategije za smanjenje potronjeMetode projektovanja za malu potronjuOptimizacija potronje ALU-aZakljuak

    Elektronski fakultet Ni

    Strategije za smanjenje potronjeRedukcija dinamike potronje

    minimizacija 01, Cl, VDD ili fCLK Redukcija statike potronje smanjenje struje curenja Ileakage i VDD-a

    Elektronski fakultet Ni

    Strategije za smanjenje potronjeZa tehnologije ije su irine kanala vee od 90 nm, dominantan uticaj ima dinamika potronja.

    Dinamika potronja se veoma uspeno redukuje.

    Elektronski fakultet Ni

    Strategije za smanjenje potronjesmanjenje VDD-a vodi ka najefikasnijoj redukciji potronje. smanjenjem napona napajanja poveava se vreme propagacije signala kroz gejtove. smanjenje VDD-a, takoe prati i smanjenjenapona praga provoenja tranzistora (Vth ). smanjenjem Vth se poveava struja curenja, pa sada statika disipacija ima dominantni uticaj kako na potronju tako i na performanse dizajna.

    Elektronski fakultet Ni

    SadrajUvodIzvori disipacije Strategije za smanjenje potronjeMetode projektovanja za malu potronjuOptimizacija potronje ALU-aZakljuak

    Elektronski fakultet Ni

    Metode projektovanja za malu potronju

    Elektronski fakultet Ni

    Metode projektovanja za malu potronju Power down metode za smanjenje potronjeIskljuivanje izvrnih jedinica koje ne obavljaju korisne operacije. Ukidanje napona napajanja, Dozvola/zabrana takta, Korienje flip-flop-ova sa dozvolom i Particionisanje memorije.

    Elektronski fakultet Ni

    Metode projektovanja za malu potronju Dozvola/zabrana takta Podrazumeva ukljuenje /iskljuenje taktne pobudeeliji, itavom bloku ili modulu u dizajnu. architecture CG_DFF_a of CG_DFF_e issignal GCLK : std_logic;begin P1:process (GCLK) begin if GCLK'event and GCLK = '1' then D_out