Moems Mems 2008 Abstracts

Embed Size (px)

Citation preview

  • 7/31/2019 Moems Mems 2008 Abstracts

    1/42

    spie.org/pw TEL: +1 360 676 3290 +1 888 504 8171 [email protected] 40

    Abstracts Summaries

    ContentsConference 6882: Micromachining

    and Microfabrication Process Technology XII . . . . 406

    Conference 6883: Advanced FabricationTechnologies for Micro/Nano Opticsand Photonics . . . . . . . . . . . . . . . . . . . . . . . . . . . . 411

    Conference 6884: Reliability, Packaging, Testing,and Characterization of MEMS/MOEMS VII . . . . . 420

    Conference 6885: MEMS/MOEMS Componentsand Their Applications V Special Focus Topics:Transducers at the Micro-Nano Interface . . . . . . . 426

    Conference 6886: Microfluidics, BioMEMS,and Medical Microsystems VI . . . . . . . . . . . . . . . . 430

    Conference 6887: MOEMS and MiniaturizedSystems VII . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .438

    Conference 6888: MEMS Adaptive Optics II . . . . . . 442

  • 7/31/2019 Moems Mems 2008 Abstracts

    2/42

    spie.org/pw TEL: +1 360 676 3290 +1 888 504 8171 [email protected] 40

    Conference 6882: Micromachining and MicrofabricationProcess Technology XIITuesday-Wednesday 22-23 January 2008 Part of Proceedings of SPIE Vol. 6882Micromachining and Microfabrication Process Technology XII

    6882-18, Poster Session

    The effect of size on the etch rates of structuresfabricated from photostructurable glass-ceramic

    J. A. Stillman, The Aerospace Corp. and Univ. of California/ Los

    Angeles; J. W. Judy, Univ. of California/Los Angeles; H. Helvajian, TheAerospace Corp.

    Photostructurable glass-ceramics (PSGCs), although not yet widelyused, are well suited to many micro-optical and micromechanicalapplications. They can be patterned by laser-direct-writing with ahigh degree of three-dimensional control. A focused laser beam canselectively increase the etch rates of the irradiated regions of thesetransparent, photosensitive materials. In this experiment, we examinedthe dependence of the chemical etch rate on the sizes of structures madefrom Foturan, a commercially available PSGC. We fabricated three typesof test structures in 1-mm-thick Foturan samples. First, we fabricatedsets of square pits that ranged in width from 10 m to more than 1 mm.These were etched briefly to compare the vertical etch rates of structuresof different sizes. Second, buried 4-mm-long channels that also rangedin width from 10 m to more than 2 mm were etched to compare thelateral etch rates of various widths of channels. Third, sets of 4-mm-widesquares were outlined with through-exposed channels of various widths.The squares were examined after etching for 30 minutes, 45 minutesand 60 minutes to determine which channel widths etched completelythrough the wafer. The exposure system employed a pulsed Nd:Yaglaser that was frequency-tripled to 355 nm and operated at a pulse rateof 10 kHz. Samples were etched at room temperature in 5% HF underultrasonic agitation. We report the measured etch rates, the times atwhich the through-structures were released for various channel widths,and the variations in measurements for each class of sample.

    6882-19, Poster Session

    Effect of formulation variables on the formation ofcholesterol nanoparticles of clozapine prepared bysolvent evaporation method

    N. V. Patel, Anand Pharmacy College/Gujarat (India); R. C. Patel, KBRaval College Of Pharmacy/Ahmedabad (India); M. P. Patel, AlembicLtd. (India)Effect of surfactant, organic solvent, external phase volume, osmogenicagent, solvent evaporation, and drying method on the morphology,encapsulation efficiency and drug release behaviour of cholesterolnanoparticles of clozapine, an atypical antipsychotic drug, were studied.The nanoparticles were prepared by single emulsion and double emulsionsolvent evaporation methods. Six different surfactant, viz., poly vinylalcohol (PVA), polyvinyl pyroliidione, cremophore EL, poloxamer 188,poloxamer 407, tyloxapol, vit E TPGS, dextran sulfate were chosenfor the study. It was found that the surfactant concentration affectsthe characteristics of nanoparticles. On increasing the concentrationof surfactant the particle size of the nanoparticle and encapsulationefficiency is reduced. Partially hydrolyzed PVA showed best result amongall the surfactants. With 1.2% PVA concentration 19 % drug loading wasobtained. The volume of external phase altered the drug release fromnanoparticle. It was found that on increasing the external aqueous phasevolume burst drug release increase. The addition of osmogenic agent andreduction of external aqueous phase led to marked reduction in the burstrelease of the drug. Dichloromethane and ethyl acetate were selectedas solvent medium for dissolving clozapine and cholesterol. It wasobserved that with ethyl acetate sticky nanoparticles are produced. Thedichloromethane, on the other hand, produced discrete nanoparticles.Hence, dichloromethane was selected as the solvent for the fabricationof nanoparticles.

    The method of solvent evaporation and time allowed for solventevaporation on the properties of nanoparticles studied and it wasfound that the time required for evaporation of organic solvent couldbe markedly reduced if evaporation is done under the atmosphere ofcarbon dioxide. The drying of fabricated nanoparticles was tried bylyophilization, vacuum drying, and simple drying methods it was found

    that reconstitution was best in the case of lyophilization.

    6882-20, Poster Session

    Dry film process development for electroplating and

    lift-off of metal layersP. R. Kanikella, M. J. OKeefe, C. Kim, Univ. of Missouri/Rolla

    A dry film photoresist (MX 5020 from DuPont Electronic Technologiewas selected to fabricate microstructures with high sidewall verticalitSidewall verticality of dry film is very important for better pattern transfand sharp features. A fractional factorial design (FFD) method was useto identify the significant process variables for sidewall optimizatioThe most significant factor was determined to be exposure energy, aother factors were not significant in improving sidewall verticality. It wafound that the sidewall slope increased with a decrease in exposuenergy. The fabricated dry film molds with nearly vertical sidewalls (86were used for copper electroplating and sputter deposited Ti lift-oapplications. The electroplating process was also optimized using fractional factorial design. A lower plating current density resulted insmoother, fine grained deposit compared to the higher current densitand the dry film resist was able to withstand a very acidic (pH ~1) copp

    sulfate plating solution. Sputtered titanium films with a thickness of 20nm were also successfully lifted-off using dry film patterning.

    6882-21, Poster Session

    Electrical properties of thin epoxy-based polymerlayers filled with n-carbon black particles

    M. Macek, Univ. v Ljubljani (Slovenia); M. Klanjsek Gunde, N. Haupt-man, National Institute of Chemistry Slovenia (Slovenia)

    Photo sensible epoxy based polymer, known under a commercial namSU8 is widely used negative photo resist used for MOEMS, MEMS anLIGA fabrication due to its excellent properties. They can be changein a considerable extent if such a polymer is used for the host materof a nano-composite structure, while the photolithographic propertiof the host polymer are possible to retain.

    The conductive carbon black (CB) with small average primary partic

    size and high specific surface area was applied in the epoxy-baseSU8 matrix material. Different weight concentrations of solid particleas calculated for the solid nanocomposite were used to prepardispersions. Much attention was paid to control the state of dispersioof solid particles. The layers underwent the standard recommendeprocedure for SU8 photo resist. The prepared nano-composites weanalyzed in terms of specific resistance of thin films deposited onglassy substrates. It was found out, that a proper dispersion of n-particleplays a crucial role. Samples with the same composition (wt% of n-Cdiffer in specific resistance by few orders of the magnitude. Scanninelectron microscopy confirms that the agglomeration of nano-particledecreases the conductance of the samples.

    6882-01, Session 1

    BioMEMS-enabling technologies for POC testing

    N. Zhang, General MEMS Corp.Recent development of innovative disposable lab-on-a-chip systewill be reviewed. They typical Lab-on-chip system includes passive oactive microfluidics and varying detection metrologies. The technologadvance in Bio-MEMS has opened a new era for the point-of-care testinin clinical diagnostics. Several challenging issues in the developmeof the disposable lab-on-a-chip have been explored and addressed this review. A new disposable dynamic lab-on-a-chip developed fimmunoassay is presented in this paper as an example of Bio-MEMapplication.

  • 7/31/2019 Moems Mems 2008 Abstracts

    3/42

    407 spie.org/pw TEL: +1 360 676 3290 +1 888 504 8171 [email protected]

    6882-02, Session 1

    Advances in CLIPP for the fabrication of surfacemodified micro-fluidic

    M. P. C. Watts, Optical Associates, Inc.; R. Sebra, Univ. of Colarado atBoulder; H. Simms, Univ. of Colorado at Boulder; K. Ansteh, Univ ofColarado at Boulder

    The challenge in manufacturing disposable bio micro-fluidic devices

    centers on making complex structures with controlled wettingand adhesion characteristics that can be used with fluorescencedetection at a very low cost of < $1 a part. We will report on a new lowfluorescence UV curable material that can be patterned in the ContactLiquid Photolithographic Polymerization (CLiPP) process developed atU Colorado [1]. We will show results on reduced protein adhesion inthese materials.

    A generic micro fluidic device can be thought of as a liquid delivery,reaction, and detection package. The mechanics of fluid deliveryrequire a series of delivery ports, chambers, and valves that form acomplex internal structure. The CLiPP process was invented to makethese complex structures by using a contact mask to image a UVcurable liquid. The material is crosslinked in the exposed region, andthe uncrosslinked liquid washed away. Multiple layers with embeddedstructures can be made by repeat exposures. Closed cells are formedby lamination, or by temporarily filling the structure with wax and themcapping with UV material.

    The unique feature of CLiPP is that different materials can be used ateach layer, so that the inside surface of the device can be engineered.Most microfluidic devices are made in hydrophobic polymers that havethe advantage that the device does not swell in water. Unfortunately thehydrophobic surface also make the cell difficult to fill without bubbles,and proteins adhere to the surface (non specific binding). Devices areoften washed with a surface treatment to reduce protein adhesion.

    In CLiPP, the surface is modified by including an initiator in the crosslinkedlayer. This initiator is then activated in the presence of a second monomerwhich is then grafted on the surface. This second monomer can behydrophilic or contain bio sensor molecules such as antigens. Thegrafting of multiple antigens greatly increases detection sensitivity.

    Finally, the majority of bio tests use fluorescence tags to detect specificprotein binding. This requires low background fluorescence and low nonspecific binding. Most UV cured materials tend to fluorescence due tothe presence of initiators and UV absorbing monomers. We will showfluorescence data from a new class of UV cured materials that can beused to provide very high sensitivity detection.

    [1] T. Haraldsson et al; 3D polymeric microfluidic device fabrication viacontact liquid photolithographic polymerization (CLiPP); Sensors and

    Actuators B 113 (2006) 454-460

    6882-03, Session 1

    Fabrication of silicon nanowall chips for DNA bindingyield enhancement

    R. M. Badam, Institute of Microelectronics (Singapore); R. Uppili,SiMEMS Pte Ltd. (Singapore); B. Narayanan, Institute of Microelec-tronics (Singapore)

    Silicon micro-fabrication techniques are becoming handy to realize avariety of devices particularly for biological applications. In this work we

    demonstrate fabrication of silicon based chips for the enhancement ofDNA binding yield. A fully CMOS compatible process flow was designedwith 200mm dia silicon substrate. Highly dense three dimensionalnano-structure wall arrays were formed using deep UV lithographyand reactive-ion etch technique. We optimized the resist patterningprocess to create fine dense patterns of 200nm width and gap (CD)using KrF lithography scanner eliminating the need of using E-beamlithography which has low throughput. During subsequent silicon etchingsilicon-di-oxide layer was used as hard mask to over come the etchselectivity issues and control the etch CD bias. Such nano structureddense arrays enhanced the surface-area by more than fourteen timesfor a given chip base area. These chips were further functionalised forDNA binding and the yield was quantified. We achieved more than fourtimes of DNA binding yield compared to the flat silicon surface. Further,

    it is possible to increase the DNA binding yield by suitable design anprocess modifications of the nano wall arrays. The CMOS compatibprocess provides greater integrate-ability of devices to realize Lab-oChip concepts. Such devices have potential biological applicationsuch as PCR amplification. As the processes designed were productioadapt-able cost effectiveness can also be achieved.

    6882-04, Session 1

    Microfabricated needles for transdermal deliveryof macromolecules and nanoparticles: fabricationmethods and transport studies

    R. C. Patel, KB Raval College Of Pharmacy/Gujarat (India); N. V. PateAnand Pharmacy College/Gujarat (India)

    Arrays of micrometer-scale needles could be used to deliver drugproteins, and particles across skin in a minimally invasive manner. Wtherefore developed microfabrication techniques for silicon, metal anbiodegradable polymer microneedle arrays having solid and hollow borewith tapered and beveled tips and feature sizes from 1 to 1,000 mWhen solid microneedles were used, skin permeability was increasein vitro by orders of magnitude for macromolecules and particles up 50 nm in radius. Intracellular delivery of molecules into viable cells waalso achieved with high efficiency. Hollow microneedles permitted floof microliter quantities into skin in vivo, including microinjection of insu

    to reduce blood glucose levels in diabetic rats.

    6882-05, Session 2

    Micromachining of a fiber-to-waveguide coupler usinggrayscale lithography and through-wafer etch

    T. E. Dillon, S. Shi, J. Murakowki, D. W. Prather, Univ. of Delaware

    For some time, the micro-optics and photonics fields have relieon fabrication processes and technology borrowed from the weestablished silicon integrated circuit industry. However, new fabricatiomethodologies must be developed for greater flexibility in the machininof micro-optic devices. To this end, we have explored grayscalithography as an enabler for the realization of such devices. This procedelivers the ability to sculpt materials arbitrarily in three dimensions, thproviding the flexibility to realize optical surfaces to shape, transformand redirect the propagation of light efficiently. This has opened the do

    for new classes of optical devices.As such, we present a fiber-to-waveguide coupling structure utilizingsmoothly contoured lensing surface in the device layer of an SOI wafcreated using grayscale lithography. The structure collects light incidenormally to the wafer from a single mode optical fiber plugged througthe back surface, and turns the light into the plane of the device layefocusing it into a single mode waveguide. The basis of operation is totinternal reflection, and the device therefore has the potential advantagof providing a very wide bandwidth operation, polarization insensitivithigh efficiency, and small footprint. The structure was optimized withsimulated annealing algorithm in conjunction with FDTD simulation, anachieves theoretical efficiency of approximately eighty percent, includina loss due to Fresnel reflection from the oxide/silicon interface. Thfabricated device has validated the principle of operation.

    We will discuss the complete fabrication flow in detail, as these processeadvance the capabilities of micro-machining and are therefore of generinterest. Attention will be directed to the required models and procescontrol that enable precise sculpting of the optical surfaces, needed achieve a high efficiency device. We will also discuss the through-wafetch for mechanical stabilization and alignment of the optical fiber tcoupling structure. Refinement of the process for high etch rate anappropriate sidewall taper, in addition to the mechanism for alignmeof the through-wafer etch to the coupling structure, will be discussed

    6882-06, Session 2

    Laser beam shaping for micromaterial processingusing a liquid crystal display

    F. Friederich, U. Klug, M. Boyle, Laser Zentrum Hannover e.V. (Ger-

    Conference 6882: Micromachining and MicrofabricationProcess Technology XII

  • 7/31/2019 Moems Mems 2008 Abstracts

    4/42

    spie.org/pw TEL: +1 360 676 3290 +1 888 504 8171 [email protected] 40

    many)

    In the last decades the technology behind liquid crystal displaysexpanded by an exponential rate. The high demand of this technologyby the entertainment industry leads to higher resolutions, smaller pixelpitch and reduced costs. Today, high quality, nematic liquid crystal onSilicon (LCoS) microdisplays with resolutions of 1920 x 1080 pixels and8 m pixel pitch are available.

    Laser material processing demands high beam quality with different

    beam profiles, usually achieved by custom-built masks. A computercontrolled microdisplay provides the opportunity to actively control andalter the spatial distribution of the incident electromagnetic field. Phasechanges by a fraction of a wavelength up to 2 in the IR-Region enhancethis element as an adaptive diffractive beam shaping device.

    For operation of the display as a diffractive device, several effects haveto be taken into account. The LCoS displays are typically controlled bya digital addressing scheme that causes phase flicker. In addition, thethermotropic behavior of the liquid crystal molecules results in a powerdependence of the incident electromagnetic field.

    In reference to these characteristics, the application of an LCoSmicrodisplay (Holoeye HEO1080P) as a beam shaping device formaterial processing will be discussed. This includes the presentationof a simple method for characterization and calibration of the liquidcrystal display.

    6882-07, Session 2A novel fabrication technology for anti-reflex wafer-level vacuum packaged microscanning mirrors

    M. Oldsen, U. Hofmann, H. Quenzer, K. Gruber, B. Wagner, FraunhoferInstitut fr Siliziumtechnologie (Germany)

    Resonantly operating microfabricated scanning mirrors are supposed tobe a key component for a variety of mass applications in the field of laserprojection and imaging. To ensure the feasibility of mass production thedevices must be hermetically encapsulated on wafer level. A vacuumencapsulation reduces the required driving voltages and enhances theachievable mirror deflection angles. Different to inertial MEMS a waferlevel package for MOEMS must also provide perfect optical quality.

    This work presents a complete process technology allowing thefabrication of wafer-level vacuum packaged electrostatically actuatedscanning micromirrors for laser projection. The MOEMS wafer containing

    the active mirror devices is sealed at the frontside by a structured and antireflective coated glass wafer containing up to 500 m deep cavities withperfect optical quality. A eutectic bonded silicon wafer hermetically sealsthe assembly from the backside. While even an anti reflective coating onthe structured glasswafer does not fully eliminate the parasitic reflectionof the laser beam on the glass interfaces, this work also presents aneffective full anti-reflective package solution on wafer level.

    To enable the standard wafer bonding processes, such as eutectic,anodic and glass frit bonding, the MOEMS wafer process features two30 m thick epitactically grown and CMP polished polysilicon layers. Aburied thin polysilicon layer enables the integration of lateral electricalfeedthroughs.

    First measurements of the vacuum level showed a package pressureof approx. 1 mbar. An optional getter layer can be integrated into thepackage for further improvement of the vacuum level.

    6882-08, Session 2Parallel kinematic mechanism-based monolithic XYmicro-positioning stage

    D. Mukhopadhyay, J. Dong, P. M. Ferreira, Univ. of Illinois at Urbana-Champaign

    Micro-positioning stages fabricated using Micro Electro MechanicalSystems (MEMS) based processes have been critical in enabling micro/nano manipulation and probing. These stages have been extensively usedin micro-force sensors, scanning probe microscopy and micro opticallens scanners. This paper presents the design, kinematic and dynamicanalysis, fabrication and characterization of a novel monolithic micro-positioning XY stage. The design of the proposed micro-positioning stage

    is based on a Parallel Kinematic Mechanism (PKM). The PKM basedesign decouples the motion in the XY direction. Additionally, it restricthe parasitic rotation of the end-effector (table) of the micro-positioninstage while providing an increased motion range. The motion of thstage is linear in the operating range thus simplifying its kinematics. Thtruss like design of the stage structure reduces its mass while keepinthe stage stiffness high. This leads to a high natural frequency of tmicro-positioning stage while the PKM based design leads to a higQ-factor. The stage mechanism is fabricated on a Silicon-On-Insulat(SOI) substrate and is actuated by integrated electrostatic rotary comdrives. The fabrication process uses multi-layer patterning along with aInductively Coupled Plasma Deep Reactive Ion Etching (ICP-DRIE). Thuse of ICP-DRIE enables the high aspect ratio etching that is requirefor the stage fabrication and its optimal actuation using the integrateelectrostatic rotary comb drives. The fabricated stages have a motiorange of more than 30 microns of decoupled displacements along thX and Y directions at a driving voltage of 200V.

    6882-09, Session 2

    Microinductors integrated on silicon for dc-dcconverters

    T. El Mastouli, J. Laur, Lab. dAnalyse et dArchitecture des Systmes(France) and Paul Sabatier Univ. (France); J. Sanchez, Lab. dAnalyseet dArchitecture des Systmes (France)

    For applications such as computers, cellular telephones anMicrosystems, it is essential to reduce the size and the weight of electrondevices. More particularly, this evolution implies the miniaturization high efficiency on-chip dc-dc converters providing low voltage to thvarious ICs. Therefore, fabrication of magnetic components dedicateto power conversion becomes necessary. To miniaturize inductors, thmicromachining techniques provide solutions based on low-temperatuprocess compatible with active part of the converter.

    The proposed inductor topology is based on a spiral-type structure whicconsists in a copper conductor sandwiched between two CoNiFe (60%15%-25%) layers shielding the magnetic flux of the inductor windinConsidering a 1-5 MHz operating switching frequency, a laminatecore has been investigated in order to reduce eddy-current inducein the core. We have made several investigations on the electroplatinbaths parameters by changing temperature, pH and current densivalues in order to obtain optimum magnetic properties (Bsat=2.3T, lo

    Hc, r=250, resistivity>30 Ohm.cm). These proprieties are measureby SQUID and the composition is analyzed using quantitative energdispersive X-ray analysis.

    Research reported in this paper is an example relative to thmicroinductors fabrication for micropower applications. It shows thfeasibility of a spiral inductor structure with a laminated core adequafor a high frequency switching operation. The final paper will describwith more details the characterizations of a ten turns prototype exhibitia 1H inductance value.

    6882-10, Session 2

    Electrodeposition of Au for self-assembling 3Dmicrostructures

    M. E. Kiziroglou, A. G. Mukherjee, Imperial College London (UnitedKingdom); R. W. Moseley, Microsaic Systems Ltd. (United Kingdom);

    P. Taylor, Semefab (Scotland) Ltd. (United Kingdom); S. Pranonsatit, AS. Holmes, E. M. Yeatman, Imperial College London (United Kingdom

    Rotation of structures fabricated by planar processing into out-of-planorientations can be used to greatly increase the 3-dimensionality microstructures. Previously this has been achieved by a self-assembprocess based on surface tension in meltable hinges. An importaapplication is in fabricating vertical inductors on silicon, to reduce thsubstrate coupling and thus increase quality factor and self-resonancfrequency. Previous processes have used copper tracks, and Pb-Shinges. However, the use of Cu limits potential applications becausof oxidation, since the final structure is not embedded. Moreovea substitute hinge material is also required, as a result of legislativrestrictions on Pb use. In this paper, Au is used as an alternative to C

    Conference 6882: Micromachining and MicrofabricationProcess Technology XII

  • 7/31/2019 Moems Mems 2008 Abstracts

    5/42

    409 spie.org/pw TEL: +1 360 676 3290 +1 888 504 8171 [email protected]

    for the fabrication of self-assembled 3D inductors. A process has beendeveloped to overcome photoresist decomposition problems due tothe alkaline nature of Au electro-deposition solutions. Furthermore,pure Sn is used instead of Pb-Sn as the hinge material. A Ni metal layeris introduced between the Au coils and the Sn hinge to prevent inter-diffusion and formation of eutectic Au-Sn compounds. Finally a goldcapping technique is proposed to protect the Sn hinge from oxidationduring hinge reflow. The fabrication techniques developed here arecompatible with post-processing on active CMOS circuits, and can beadopted for other MEMS applications.

    6882-11, Session 3

    Microcantilever force sensor fabricated byfemtosecond laser micromachining

    P. S. Shiakolas, N. Uppal, M. Mayyas, The Univ. of Texas at Arlington

    Femtosecond lasers have been used to process various materialsfor different applications in the micro/nano domain. In this work, afemtosecond Ti: Sapphire laser (800 nm wavelength and 120 fs pulsewidth) is used to fabricate a micro cantilever for contact force sensingapplications. The microcantilever with micro/nanoforce resolution isdesigned and its performance optimized reference to the resistancechange as function of applied force. The microcantilever force sensoris composed of a PMMA film sputtered with copper. The femtosecond

    laser is utilized to obtain the desired copper geometry using a bulk-micromachining process over the entire length of the elastic PMMA layer.Optimization criterions for the resistance change vs. force/deflection havebeen mathematically derived for the laminated layers. The micron andsubmicron machining capabilities along with the optimization criterionshave allowed introducing a range of MEMS FS which are suitable forforce and displacement measurement of MEMS actuators.

    6882-12, Session 3

    Fabrication of buried channel waveguides inpolydimethylsiloxane (PDMS) using proton beamwriting (PBW): Applications for fluorescence detectionin microfluidic channels

    C. N. B. Udalagama, S. F. Chan, S. Homhuan, A. A. Bettiol, F. Watt,National Univ. of Singapore (Singapore)

    Proton beam writing (PBW) is a lithographic technique that utilizesMeV protons in a direct write mode to fabricate micro/nano featuresin suitable resist material (E.g PMMA, SU-8, silicon, Foturan...). Thesemicro/nano structures may be used in an electroplating step to yieldrobust metallic stamps/molds for the replication of the original and lendsitself to the fabrication of micro/nano fluidic channels that are importantcomponents in devices such as biophotonics chips. Another feature ofproton bombardment is its ability to induce an increase in refractive indexalong the ions path, in particular at the end of its range where there issubstantial nuclear scattering. This allows PBW to directly write buriedwaveguides that can be accurately aligned with fluidic channels.

    Polydimethylsiloxane (PDMS) is an optically clear, biocompatible polymerthat can be readily used with a mold (such as that created with PBW)to produce micro/nano fluidic channels necessary for biophotonicschips. It is usual to embed optical fibres within such a device to allowfor the coupling of light necessary for analysis. This requires additionalconsiderations for the proper alignment of these fibres.

    In this paper we present results of the fabrication and characterization ofproton beam written buried waveguides in PDMS as means of directlycoupling light into microchannels in biophotonics chips without resortingto embedding optical fibres.

    6882-13, Session 3

    MEMS post-processing of MPW dies using BSOI carrierwafers

    A. G. Mukherjee, M. E. Kiziroglou, A. S. Holmes, E. M. Yeatman, Impe-rial College London (United Kingdom)

    Multi-project-wafer (MPW) services provide an economical route for

    prototyping of new electronic circuit designs. However, addition MEMS functionality to MPW circuits by post-processing (also known aMEMS-last processing) is difficult and inefficient because MPW typicayields individual dies. One solution to this problem is to embed the MPdies in a carrier wafer prior to MEMS processing. We have developedprocess which allows 300 micrometer thick CMOS dies to be embeddein a BSOI (bonded silicon-on-insulator) carrier prior to low-temperatuprocessing for integration of metal MEMS. Deep reactive ion etchin(DRIE) with an STS Multiplex ICP etcher is used to form cavities in thdevice layer of a BSOI wafer. By adjusting the passivation and etchincycles, the DRIE process has been optimized to produce near-verticsidewalls when stopping on the buried oxide layer. The cavity sizes aclosely matched to the die dimensions to ensure placement of the dieto within +/-15 micrometer. Dies are placed in all the cavities, and thenphotoresist layer is deposited by spin-coating and patterned to providaccess to the required IC contact pads. The photoresist has the dual roof securing the dies and also planarizing the top surface of the carrie

    After an appropriate baking cycle this layer provides a suitable base fmulti-level electroplating or other low-temperature MEMS processinDetails of the process optimisation will be reported, along with exampleof RF MEMS devices that have been successfully fabricated over CMOcircuits by this route.

    6882-14, Session 3

    Microstructures with rounded concave and sharp-edged convex corners in a single step wet anisotropicetching

    P. Pal, K. Sato, Nagoya Univ. (Japan); M. Gosalvez, Helsinki Univ. ofTechnology (Finland); M. Shikida, Nagoya Univ. (Japan)

    The conventional design of silicon micromachining based microstructurhas sharp edge convex and concave corners. This design exhibits streconcentration at the concave corners when load is applied which mainitiate micro cracks. By providing rounded concave corners instead sharp one, the stress can be smoothening, and that will improve thmechanical efficiency. However, the fabrication of this type of geometis difficult as severe undercutting start at convex and rounded concavcorners during wet anisotropic etching.

    In this work, we have developed the microstructures with roundeconcave and sharp-edged convex corners in (100)-silicon wafer insingle step wet anisotropic etching process. It was realized by analyzin

    the etching characteristics of TMAH solution when non-ionic surfactaNC-200 is added. The NC-200 contains 100% polyoxethylene-alkphenyl-ether.

    To demonstrate the technique, various kinds of microstructures wirounded concave and sharp edged convex corners are fabricated on type (100)-silicon wafers. The etch rates, average surface roughness anconvex undercutting ratio in pure and surfactant added TMAH solutioat different concentrations are compared. In average, the undercuttinratio in 25 wt% TMAH reduces by 92% which is less than the valuereported for IPA and other surfactant added TMAH solution. Varioukinds of MEMS structures based on silicon (such as the cantilevbeams) require thickness of about 2~10 m. It should be stressed thain this work, we have achieved rounded concave and sharp edge convecorners with negligible undercutting for thicknesses up to 20 m withothe addition of any compensating structure.

    6882-15, Session 3TACD tool for innovative MEMS and MOEMS: a all-in-one solution

    U. Triltsch, S. Bttgenbach, Technische Univ. Braunschweig (Ger-many)

    No abstract available

    Conference 6882: Micromachining and MicrofabricationProcess Technology XII

  • 7/31/2019 Moems Mems 2008 Abstracts

    6/42

    spie.org/pw TEL: +1 360 676 3290 +1 888 504 8171 [email protected] 41

    6882-16, Session 3

    Sensitivity and stress of composite Si-micro/macroporous silicon

    S. L. Narayanan, E. Bhattacharya, Indian Institute of TechnologyMadras (India)

    Since porous silicon (PS) has a lower Youngs Modulus as comparedto silicon, Silicon/Porous Silicon (Si/PS) composite membranes are

    expected to show higher sensitivity as compared to membranes of siliconalone. We have earlier reported improvement in the sensitivity of pressuresensors with Si/microPS composite membranes [1]. Electrochemicaletching in an organic electrolyte composed of HF and DMF (DimethylFormamide) is known to yield macroPS [2]. In this paper we discuss thefabrication and testing of Si/PS composite membranes where a part ofthe silicon membrane depth is converted into macroPS. The compositemembrane with macroPS also shows an increase in the sensitivitythough the improvement is less than that with microPS. Formation ofmicroporous and macroporous silicon produces stress on the membranevarying with the porosity. The variation in compressive stress on themembrane with porosity for both micro and macro PS has been studiedby measuring the deformation of the composite membrane with a surfaceprofiler and the stress is found to be larger for macroPS. The compressivestress results in an increase in the offset voltage by more than an order ofmagnitude for composite membranes with macroPS with porosity above50% as compared to one with a single crystalline silicon one. Though

    the composite membranes exhibit saturation and hysteresis at higherpressures, the response is linear and repeatable at pressures below 1bar making this a viable option for sensing low pressures.

    References

    1. L.Sujatha and E. Bhattacharya, Proceedings of SPIE Volume: 6464MEMS / MOEMS Components and Their Applications IV, Photonics West2006, p 64640O01-08.

    2. E.A. Ponamarev et.al., Journal of Porous Materials (7), 2000, p 51-56.

    6882-17, Session 3

    Three dimensional waveguide fabrication in PMMAusing femtosecond laser micromachining system

    P. S. Shiakolas, N. Uppal, M. Rizwan, The Univ. of Texas at Arlington

    Femtosecond lasers have been widely used for the micro structuring oftransparent materials for a wide range of applications. When the ultrashortlaser pulse is focused in the bulk of transparent material, the materialundergoes local refractive index modification because of the nonlinearabsorption of laser energy. This local change in refractive index by theirradiation of laser pulse has been exploited for various applicationsranging from optical data storage to the fabrication of waveguides andcouplers. In this work, a Ti: Sapphire femtosecond laser (800nm, ~100 fsand 1 KHz) is used for the fabrication of three dimensional waveguidesin thick PMMA substrates. The femtosecond laser system (FLM) alsoconsists of there translational (X, Y and Z) stages and one rotationalstage. The combined motion of these four stages can be used to achievedesired three dimensional pattern inside the transparent material due torefractive index modification. This work will present the designing of 3Dwaveguide using commercially available solid modeler and generation ofmachining codes using customized post processor. Also, control of thelaser process parameters to obtain desired feature quality by minimizing

    self focusing and filament formation in PMMA is discussed. This FLMsystem along with the 4 axis machining capability can be effectivelyused for the fabrication of complex 3D waveguide circuits rapidly in asingle step process.

    Conference 6882: Micromachining and MicrofabricationProcess Technology XII

  • 7/31/2019 Moems Mems 2008 Abstracts

    7/42

    411 spie.org/pw TEL: +1 360 676 3290 +1 888 504 8171 [email protected]

    Conference 6883: Advanced Fabrication Technologiesfor Micro/Nano Optics and PhotonicsMonday-Wednesday 21-23 January 2008 Part of Proceedings of SPIE Vol. 6883

    Advanced Fabrication Technologies for Micro/Nano Optics and Photonics

    6883-01, Session 1

    Fabrication of nanophotonic structures for informationprocessing

    S. M. Spillane, Q. Xu, D. A. Fattal, W. Wu, Hewlett-Packard Labs.; P.

    Kornilovich, Hewlett-Packard Co.; R. G. Beausoleil, Hewlett-PackardLabs.

    Nanophotonic structures are useful for both classical and quantuminformation processing applications. Here we discuss the fabrication ofnanophotonic structures using nanoimprint lithography, and comparetheir performance with other fabrication techniques such as electronbeam li thography.

    6883-02, Session 1

    Formation of anti-reflective structure on the surface ofoptical glass by molding

    K. Yamada, M. Umetani, T. Tamura, Y. Tanaka, Matsushita ElectricIndustrial Co., Ltd. (Japan); J. Nishii, National Institute of AdvancedIndustrial Science and Technology (Japan)

    The formation of a surface having a periodic subwavelength structure(SWS) is a well-known technique for reducing the Fresnel reflectionof transmissive optical elements. We successfully fabricated an anti-reflective surface with a two-dimensional periodic structure of 300 nmin pitch on an optical glass by a precision molding process using asilica glass mold coated with a thin carbon film. The surface structureon the mold was formed by a reacting ion etching (RIE) method usingfluorocarbon plasma. A thin chromium film, which was used as theetching mask, was patterned with an electron beam lithography anda wet etching process. The anti-reflective surface was formed on aphosphate glass with a deformation point of 412C and refractive indexof 1.60 at a wavelength of 462 nm. The phosphate glass was moldedat 420C for 510 sec. under a pressure of 5 MPa. The height of periodicstructure on the mold was 550nm and the height of that on the formedglass was 480 nm. Therefore the filling rate of the phosphate glass tothe mold was 87%. The surface reflectance of the glass was estimatedto be 0.56% at a wavelength of 462 nm, which was approximately 1/10compared with that of the optically polished surface.

    6883-03, Session 1

    Fabrication of plasmonic waveguides by nanoimprintand UV-lithography

    R. B. Nielsen, A. Kristensen, A. E. Boltasseva, Danmarks TekniskeUniv. (Denmark); S. I. Bozhevolnyi, V. S. Volkov, Aalborg Univ. (Den-mark)

    We present a novel approach for the fabrication of plasmonicwaveguides. The process developed allows manufacturing of profiledmetal surfaces including wedges and V-groove channels. It is based ona combination of nanoimprint lithography (NIL) and UV-Lithography toallow high volume production.

    Plasmonic waveguides have attracted a lot of attention lately due totheir combination of relatively low propagation loss and subwavelength

    guiding. However applications have been limited because focused ionbeam (FIB) milling, a slow serial process, was the only fabrication methoddemonstrated [1].

    In the NIL based process presented here the features are formed on asilicon stamp by various anisotropic etches, and then imprinted in PMMApolymer. After gold deposition the polymer structures are filled with a UVcurable hybrid polymer (Ormocomp(r), micro resist technology), whichis selectively exposed through a mask to create macro features used toallow access with fiber optics. Finally the PMMA is dissolved to releasethe gold on polymer structures, which are exact replicas of the originalsilicon stamp, allowing for very low surface roughness.

    This approach has the advantage of allowing cutback measurements, afeature not previously shown for this type of plasmonic devices.

    Measurements on the fabricated devices have shown the presence both channel plasmon polariton (CPP) and wedge plasmon polarito(WPP) modes. CPP modes are found to propagate along the bottom fabricated V-grooves with a propagation loss as low as 5 dB/mm, whWPP modes are found at the upper edges of the channel.

    [1] S. I. Bozhevolnyi, V. S. Volkov, E. Devaux, T. W. Ebbesen, Phys. ReLett. 95, 046802 (2005).

    6883-04, Session 1

    Advances in roll to roll manufacturing of optics

    M. P. C. Watts, Impattern Solutions

    Optical elements are being fabricated on the scale of meter sheets usinroll to roll processing. They are used as light enhancement layers for liqucrystal display backlights, and also as light management film in all sorof passive displays such as eye catching marketing and for enhancetraffic information. The films are manufactured by either thermal or Uembossing techniques. There are major captive and merchant suppliefor these films. In this paper, the different applications, optical structureand manufacturing techniques will be compared.

    Thermal embossing has been commercial since the mid 80s t

    manufacture security holograms. This can be done at very high speecompared to imprint because there is a large reservoir of material in thfilm to flow into the pattern. UV embossing is starting to become moand more popular because of the quality of the pattern transfer from thmold. Merchant suppliers have developed the ability to superimposmultiple embossing operations creating complex optical components

    Embossed films are available today in meter wide sheets that meet athe uniformity requirements for display backlights. 3M in particular havbuilt up a billion dollar business in backlight films, that is being vieweenviously by many competitors.

    Examples of products and target specifications for films will be comparebased on public disclosures and interviews with the major suppliers.

    6883-05, Session 2

    Silicon photonic wire circuits for on-chip optical

    interconnectsW. M. J. Green, F. Xia, S. Assefa, M. J. Rooks, L. Sekaric, Y. G. A.Vlasov, IBM Thomas J. Watson Research Ctr.

    The continued scaling of power performance in electronic hardware fhigh-performance computing is rapidly being limited due to the largpower consumption and restricted throughput of traditional electricinterconnects. One possible solution is to replace conventional globinterconnects with a CMOS compatible intra-chip optical networbased on Silicon-On-Insulator (SOI) photonic integrated circuits. Whthe bandwidth and power consumption advantages of SOI opticinterconnects are potentially immense, ensuring the performance chip-scale networks places stringent requirements upon the control of tmanufacturing process, and its influence upon the operation of individuoptical components. I will present recent work on the design, fabricatioand demonstration of various passive and electrooptic devices requirefor high speed optical interconnect applications, including high-ord

    optical filters and modulators. Various aspects of the CMOS compatibfabrication process used at IBM Research for manufacturing SOphotonic wire circuits will be discussed, including waveguide lossurface roughness, device dimensions, and microresonator frequencuniformity.

    6883-06, Session 2

    Metamaterials for free space on a chip applications

    U. Levy, Univ. of California/San Diego; M. Abashin, Del Mar PhotonicInc.; K. Ikeda, Y. Fainman, Univ. of California/San Diego

    We present our recent progress on the design, fabrication ancharacterization of metamaterials that can be used as basic buildin

  • 7/31/2019 Moems Mems 2008 Abstracts

    8/42

    spie.org/pw TEL: +1 360 676 3290 +1 888 504 8171 [email protected] 41

    blocks for free space on a chip nanophotonic system integration.These devices are realized by fabricating nanostructures with spacevariant duty cycle on Silicon on insulator (SOI) material platform. Thedevices are characterized with Heterodyne Near-field Scanning OpticalMicroscope that allows a direct measurement of both amplitude andphase of the near field. Using the described concept, we show specificexamples of light bending, focusing and splitting. A design for modematching between two different waveguides is also shown.

    6883-07, Session 2

    Fabrication techniques for creating a thermally isolatedTM-FPA (thermal microphotonic focal plane array)

    M. J. Shaw, M. Watts, G. N. Nielson, Sandia National Labs.

    A novel fabrication strategy has produced uniform optical resonatingring structures that are thermally isolated from a silicon wafer substrate.The microphotonic ring designs are based on the massive thermo-opticeffect and these thermally isolated micro-resonators could potentiallyproduce higher sensitivity than standard bolometric techniques. Severalfabrication strategies were investigated using waveguide materialssuch as LPCVD Silicon Nitride on Oxide and SOI (Silicon on Insulator).Fabrication challenges and loss reduction strategies will be presentedalong with some initial thermal testing results.

    6883-08, Session 2Sub-10 nm zone plates using the overlaynanofabrication processes

    W. Chao, Univ. of California/Berkeley; E. H. Anderson, LawrenceBerkeley National Lab.; D. T. Attwood, Univ. of California/Berkeley andUniversity of California Berkeley

    Soft x-ray zone plate microscopy has proven to be a valuable imagingtechnique for nanoscale studies. The workhorse of the microscopy ishigh quality Fresnel zone plates, which enable a few tens nanometerresolution to be obtained on a daily basis. The highest spatial resolutiondemonstrated with the soft x-ray microscopy is sub-15 nm, achieved byimaging zone plates of 15 nm outermost zone width. The zone plates,which to our knowledge have the narrowest zones fabricated to date,were realized with e-beam lithography using an internally developedzone plate nanofabrication process based on overlay. In the overlay

    process, a zone plate pattern is sub-divided into two (or more) semi-isolated, complementary patterns, which are fabricated sequentiallyand are overlaid with high accuracy on the same substrate to form thedesired pattern. This process, similar in concept to the double exposuretechnique used in semiconductor industry, takes advantage of the factthat isolated lines of width narrower the smallest half-pitch of a densegrating can be routinely fabricated. The 15 nm zone plates, whichcannot be achieved using a single exposure process, were realizedusing the process, with 1.7 nm alignment accuracy at the Center for X-ray Optics Nanofabrication Laboratory. The overlay process, combinedwith sub-pixel overlay accuracy achieved with our electron beam writer(Nanowriter), provides a pathway to sub-10 nm resolution zone plates.In the talk, I will report the latest development of this process towardsrealization such zone plates. In addition, I will discuss a scheme thatcan permit fabrication of high resolution, high efficiency zone plates withtilted zones using the overlay process.

    6883-09, Session 3Nanoimprint lithography for nanomechancal opticalstructures

    L. Montelius, G. Luo, D. Hessman, S. G. Nilsson, I. Maximov, M.Graczyk, D. Adolph, Lunds Univ. (Sweden); T. Zhu, Z. Liu, Peking Univ.(China); H. Xu, Lunds Tekniska Hgskola (Sweden)

    Nanoimprint lithography (NIL) is a low cost, high throughput patterningtechnique with high resolution allowing pattern definition over largeareas. Here we introduce the NIL based fabrication and performancecharacterization of a novel optical device which is laterally deflectablecantilever array structures. In this talk I will first highlight the majorcharacteristics of the NIL-technology. Then I will discuss prospects

    and challenges and its use for fabrication of cantilever based gratinstructures allowing a dynamic control of sub-wavelength gratinperfromance. I will show applications of this kind of structures not onfor optics (diffraction/reflection), but also for electronics (tunable RF-filteas well as for biosensors (mass detection). The structure of the NEMdevice is a laterally deformable double-finger interdigitated cantilevearray, which are made on SiO2/Si surface and evaporated with a metlayer. When a bias is applied, the cantilevers of the device will bend each other due to electrostatic force. By applying DC voltage and Avoltage with different frequency, the deflection and oscillating behaviof the cantilevers is studied under microscope. Those behaviors werelated to the intensity of diffraction pattern by using a digital camera orphotodiode. The results show that the device could be used as an opticswitching element that in turn can be applied as an accelerometer. Tresonance frequency of the cantilever array could also be determineThen, finally, I will give an outlook and discuss about its role as a veversatile alternative for future nanoscience based production.

    6883-10, Session 3

    High-volume applications of wafer-scale optics

    M. Rossi, Heptagon Oy (Switzerland)

    Major design advances and manufacturing technology improvements fmicro-optic systems have facilitated the trend towards miniaturizationoptics. Up to the mid 1990s, micro-optics development was primarifor defense applications requiring components with small size and loweight. With the introduction of high-quality micro-optical componenproduced by UV-embossing and micro-injection molding technologiethese replicated components can now comfortably withstand the harsenvironmental requirements of the consumer electronics industrincluding IR reflow and thermal shock.

    The attraction of micro-optics for an optical system, particularly foconsumer products, depends upon a successful optimization of thdesign, mastering, tooling and production steps; this typically requirea close interaction between the micro-optics producer and the produdeveloper. Consumer products, which can be characterized as producproduced in large quantities for non-technical users, represent an ideindustrial segment for micro-optical components and systems.

    Double-sided and aligned wafer-scale UV-embossing has beedeveloped at Heptagon. This process, is an extension of the standaUV-replication process to large area glass or opto-electronic wafer

    Heptagons production line has been optimized and automized. It noyields high quality and low-cost micro-optical elements and modulewith a capacity of several million components per month.

    The combination of customized functionality, small size and cost-effectivproduction make micro-optics particularly attractive for beam-shapinapplications and for imaging optics for CMOS sensor modules for mobphones and PDAs. The recent and ongoing developments in design anproduction technology are resulting in replicated micro-optics becominan important factor in a wide variety of markets.

    6883-11, Session 3

    Microtransfer molding of SU-8 micro-optics

    A. Cannistra, The Univ. of North Carolina at Charlotte; P. Srinivasan,College of Optics & Photonics/Univ. of Central Florida; E. G. JohnsonT. J. Suleski, The Univ. of North Carolina at Charlotte

    SU-8 is a very promising polymer for micro-optics. It is mechanicalrobust with high thermal and chemical resistance, has high transmissioat visible and near-infrared wavelengths, and has relatively high refractivindex after curing. While lithographic patterning of SU-8 is relativecommon, molding of SU-8 is more difficult due to challenges with solveremoval and cross linking.

    In this paper, we discuss techniques for microtransfer molding of micrand nano-optics in SU-8. Elastomeric mold templates are first cast fromaster structures fabricated using lithographic and micromachininmethods. The elastomeric templates are then used in a low pressumolding process to produce high-fidelity refractive and diffractivmicro-optics in SU-8. The use of the elastomeric replica mold alsenables realization of a wider variety of optical surfaces than can b

    Conference 6883: Advanced Fabrication Technologiesfor Micro/Nano Optics and Photonics

  • 7/31/2019 Moems Mems 2008 Abstracts

    9/42

    413 spie.org/pw TEL: +1 360 676 3290 +1 888 504 8171 [email protected]

    achieved with conventional lithographic patterning techniques in SU-8, and further enables conformal fabrication of SU-8 micro-optics onnon-planar surfaces, which is very difficult to achieve with lithographicfabrication methods. Molding processes and experimental results forboth thin (diffractive) and thick (refractive) elements are presented, andsample micro-molding applications leveraging the optical and materialproperties of SU-8 are discussed.

    6883-12, Session 3Step and flash imprint process integration techniquesfor photonic crystal patterning: template replicationthrough wafer patterning irrespective of tone

    M. L. Miller, C. Brooks, D. Lentz, G. F. Doyle, D. J. Resnick, D. L.LaBrake, Molecular Imprints, Inc.

    Photonic crystal structures in for example light emitting diodes (LED)have been demonstrated to improve performance by preferential modecoupling near the surface of the diode.1 Such demonstrations werelimited by using direct write e-beam lithography due to long write times,a single tone and only small areas patterned for study. S-FIL technologyprovides a means to pattern entire wafers in a single imprint step usingtemplates replicated by step and repeat (S&R) imprint (2). Large areatemplate replication by S-FIL/R has been described using S&R templates(3). Photonic crystal based LED manufacturers prefer holes in substrates

    requiring pillar tone templates for S-FIL patterning. Pillar tone templatesare not the preferred e-beam tone for sub-200 nm template fabrication.Therefore step and repeat and/or whole wafer template replication bythe combination of S-FIL and/or S-FIL/R can be used to produce thedesired working template tone. These processes further enable thedesired tone and wafer die layout for fully patterning wafers to theiredge. The advantages of using S-FIL processes for template and waferpatterning are clear in that there is no tone preference required by theoriginal e-beam generated pattern. The present work will describetemplate replication processes for the fabrication of either pillar or holetone templates and subsequent wafer pattern processes, through oxidehard mask, producing both pillar and hole tone patterns. In summaryprocess flows exist so that any e-beam written template tone can beused to produce either tone in replicated templates and/or patternedwafers.

    1. III-nitride LEDs with photonic crystal structures, Proc. SPIE, Vol: 5739,pp. 102-107, 2005, J. Wierer, et. al.

    2. Whole Wafer Imprint Patterning Using Step and Flash ImprintLothography: A Manufacturing Solution for Sub 100 nm Patterning,David Lentz, Gary Doyle, Mike Miller, Gerard Schmidt, MahaGanapathisuramanian, Xiaomong Lu, Doug Resnick and DwayneLaBrake, Proc. SPIE Advanced Lithography 6517, 2007

    3. M. Miller, et. al., NNT 2006

    6883-13, Session 4

    Nonplanar surface structures of inorganic opticalmaterials fabricated by femtosecond laser lithography

    H. Nishiyama, M. Mizoshiri, Osaka Univ. (Japan); J. Nishii, NationalInstitute of Advanced Industrial Science and Technology (Japan); Y.Hirata, Osaka Univ. (Japan)

    Diffractive optical elements (DOEs) of inorganic materials such as

    SiO2 and Si are expected to be useful for optical interconnectionand information appliances. Most DOEs have been fabricated by thesemiconductor fabrication processes. Although this process is effectivefor the formation of fine patterns, there are two serious problems. (1) Thisprocess can be applicable only to the planar substrates. (2) The cross-sections of the patterns are always flat-top structures. In this study, thenonplanar surface microstructures of inorganic optical materials werecreated by the combined process of femtosecond laser lithography andplasma etching for the highly integrated DOEs.

    Blazed grating patterns were written directly inside a negative-toneresists coated on SiO2 substrates. Here, we can write the arbitrary 3-Dpatterns by femtosecond lasesr-induced nonlinear optical absorption,unlike the standard photolithography. Then, the resist patterns were

    transferred to SiO2 by CHF3/O2 plasma. As a result, SiO2-based blazegratings with smooth surfaces were obtained. There was no thermdamage nor cracks.

    Next, we fabricated line patterns on a groove structure as deep a50 um. The positive-tone resists were coated on the Si grooves. Thresist thickness was not uniform because of the surface tension resist. However, we can irradiate the region inside the resists directlTherefore, after plasma etching, we could obtain Si-based line structure

    with uniform widths across the groove in spite of the non-uniformity resist coating. Our proposed process is expected to be useful for highintegrated DOEs such as diffractive/refractive hybrid microlens.

    6883-14, Session 4

    Spin-on-glass smoothing of diamond turned optics foruse in the extreme ultraviolet regime

    F. H. Salmassi, P. P. Naulleau, E. M. Gullikson, Lawrence BerkeleyNational Lab.

    Mirror substrates intended for use in the extreme ultraviolet (EUV) regimhave exceedingly stringent requirements on surface finish. Renderina substrate reflective at EUV wavelength and near normal angles incidence requires these mirrors to be coated with high-quality multilaycoatings forming a Bragg reflector. These coatings typical have periorequirements on the order of 7 nm. It is evident that any appreciab

    roughness on this scale would destroy the resonant behavior the Bragstructures significantly degrading the reflectivity of the mirror. A ruof thumb is that approximately 2% reflectivity is lost per of surfacroughness on the substrate. These requirements significantly increathe cost and fabrication time for EUV optics. This is especially truwhen dealing with non-conventional shapes such as toroids and fly-emirrors. Here we present a spin-on-glass process capable of generatinsuper-polished parts from inexpensive substrates. The method is useto render complex diamond-turned optics compatible for use in the EUregime. The method is described in detail and experimental results apresented demonstrating the effectiveness of the process. Issues figure control are also discussed.

    6883-15, Session 4

    Free-form machining for micro-imaging systems

    M. L. Barkman, B. Dutterer, M. A. Davies, T. J. Suleski, The Univ. ofNorth Carolina at CharlotteWhile mechanical ruling and single point two-axis diamond turninhas been a mainstay of optical fabrication for many years, many typeof micro-optical devices and structures are not conducive to simpdiamond turning, ruling, or even two-axis turning with fast tool servExamples include many microlens arrays and optical surfaces with noradial symmetry. More recent developments in machining technologhave enabled significant expansion of fabrication capabilities. Modemachine tools can generate complex three-dimensional structures wioptical quality surface finish, and fabricate structures across a dynamrange of dimensions not achievable with lithographic techniqueIn particular, five-axis free-form micromachining offers a great deof promise for realization of essentially arbitrary surface structureincluding surfaces not realizable through binary or analog lithographtechniques.

    In this paper, we discuss techniques and applications of free-for

    surface machining of micro-optical elements, including turning, higspeed micromilling, and surface microgrinding. Aspects of diamonmachine tool design to realize desired surface geometries in specifimaterials are discussed, along with results of experiments showinprecision placement and alignment of fabricated structures relativto lithographically placed features. Several examples are presenteincluding fabrication of aspheric lens arrays in germanium for compainfrared imaging systems, and fabrication of mold templates foreplication of micro-optical structures.

    Conference 6883: Advanced Fabrication Technologiesfor Micro/Nano Optics and Photonics

  • 7/31/2019 Moems Mems 2008 Abstracts

    10/42

    spie.org/pw TEL: +1 360 676 3290 +1 888 504 8171 [email protected] 41

    6883-17, Session 4

    Hollow waveguide optimization for fluorescence baseddetection

    E. J. Lunt, B. S. Phillips, C. J. Jones, A. R. Hawkins, Brigham YoungUniv.; P. Measor, S. Kuehn, H. Schmidt, Univ. of California/Santa Cruz

    Previously, we created antiresonant reflecting optical waveguides(ARROWs) with hollow cores that guide light through gas and liquid

    media. We have demonstrated that these ARROWs can be used insensing applications with single particle sensitivity using fluorescencecorrelation spectroscopy. To increase sensitivity for single moleculesensing, we have improved our initial designs and fabrication methodsto decrease ARROW background fluorescence, improve transitionsbetween solid and hollow waveguides, and decrease loss of solidwaveguides. Photoluminescence of ARROW layers creates backgroundfluorescence that masks the desired fluorescence signals. To improvesensitivity, we have decreased the total background by optimizing thePECVD ARROW layers to minimize the photoluminescence of each layer.Sensing applications require that hollow waveguides interface with solidwaveguides on the substrate to direct light into and out of test media.Our previous ARROW designs required light at these interfaces to passthrough the anti-resonant layers. Although in theory, high transmissionthrough ARROW layers can be achieved, in practice, passing throughthese layers has limited transmission efficiencies. A new design coatsthe top and sides of the hollow core with only silicon dioxide, allowing

    light at interfaces to pass directly from silicon dioxide into the hollowcore. Initially, our solid core waveguides were formed by etching into thetop ARROW layer. These waveguides are limited by the loss inherent inPECVD oxide and surface roughness produced during fabrication. Wehave investigated new fabrication methods and waveguide materials toreduce the solid core loss and improve the sensitivity of our ARROWsensors.

    6883-18, Session 5

    Three-dimensional recording inside dielectrics forphotonic applications

    S. Juodkazis, H. Misawa, Hokkaido Univ. (Japan)

    Three-dimensional (3D) structuring of glasses, ceramics, crystals, andpolymers by tightly focused femtosecond laser pulses is a promisingtechnique for microfluidic, micro-optical and micro-mechanical

    applications. Tightly focused laser pulses can reach dielectric breakdownintensity (irradiance) without self-focusing when sub-1 ps pulses areused inside dielectric. Hence, a photo-structuring by 3D tightly focusedlaser pulses realizes the direct laser writing approch in a well controlledmanner. By using objective lens of a high numerical aperture NA > 1the focal spot size and, consequently, the photo-modified region canbe contained within a volume of sub-micrometer cross-sections. Thephotomodifications can range from induced defects, color centers,polymerized voxels, and ultimately voids depending on material, focusing,and pulse energy. Photo-polymerization of 3D photonic crystal templateswith photonic stop bands in IR-spectral region will be demonstrated bydirect laser writing and holographic recording techniques.

    Photo-structuring of glasses and crystals by wet etching of the dielectricbreakdown regions will be demonstrated. This opens new materialprocessing routes for inert dielectrics. Altered chemical properties ofshock-affected regions inside silica glass, quartz, and sapphire wererevealed by wet etching of shocked regions in aqueous solutionof hydrofluoric acid. The achievable resolution limits and potentialof the fabricated 3D patterns in photonics, microfluidics, and sensorapplications are discussed.

    6883-19, Session 5

    Fabrication of variable effective refractive indexartificial media

    P. Srinivasan, College of Optics & Photonics/Univ. of Central Florida;E. G. Johnson, The Univ. of North Carolina at Charlotte

    Optical properties of periodic structures formed at the sub-wavelengthscale differ significantly from those of the bulk materials in which these

    structures are formed. Prior research has shown that periodic structurat the sub-wavelength scale possess a polarization sensitive artificieffective refractive index. This effective index has been shown to bdependent upon both the duty cycle for a constant period and thperiod to wavelength ratio. Anti-reflective structures, beam splitters anpolarization converting elements have be conceived and realized by usinthis optical phenomenon. Recently, broadband blazing using structuremedia has been demonstrated by using the duty cycle dependerefractive index variation. Fabrications of such devices has largely relieon patterning using electron beam lithography (EBL) - an inherently serprocess unsuitable for mass production - and subsequently transfetching the structures into the desired medium.

    In this paper we describe a novel technique for the patterning anfabrication of sub-wavelength structures with the effective refractivindex changing across the optic using a combination of additivlithography and analog optics technology that our group has previousdeveloped.3 Exposure characteristic for Shipley 1813 used in this worBy overlaying two binary gratings rotated from each other by 90 subsequent exposures at the bias value, a two dimensional array holes is created. The resist in any hole of the array is now exposed abothree quarters of the way to full exposure. By using an analog intensprofile generated from a phase mask, the resist exposure is saturateThe exposure is tailored such that the point of least intensity will stcompletely expose the photoresist in any of the holes in the array. Tlocal size of the opening created upon development is dependent upo

    the amount of over-exposure. The patterns thus formed are then transfetched into GaAs using a SiCl4/He plasma process that has a selectiviof 10:1 to Shipley resist. The fabricated structures are 8 m deep.

    As the duty cycle of the holes increases the structure transitions fromhole array to a pillar array since the structure between the holes are that remain. In order to obtain the effective refractive index dependencupon the fill factor, the reflection from the sub-wavelength gratinstructure was first calculated by rigorous coupled wave analysis. Thindex of a homogenous slab that would provide same reflectivity wathen determined theoretically.

    6883-20, Session 5

    Layer-by-layer three-dimensional chiral photoniccrystals

    G. von Freymann, Forschungszentrum Karlsruhe (Germany); M. Thie

    M. Wegener, Univ. Karlsruhe (Germany)Periodic chiral dielectric or metallic nanostructures have recentattracted considerable attention because of the possibility of obtainingiant circular dichroism or giant gyrotropy in the optical regime. To datchiral dielectric structures outperform chiral metallic structures by a largmargin regarding losses.

    Interesting dielectric candidates are the recently introduced circular-spidesigns - three-dimensional nanostructures of considerable complexiWhile it is conceivable that high-quality circular-spiral structures migbe fabricated on large areas at low cost via holographic lithography,would be highly desirable to have blueprints at hand that are accessibby a variety of different approaches suitable for large-area low-cost masfabrication. Regarding a distinct class of periodic structures, namephotonic-band-gap materials, layer-by-layer approaches such as thfamous woodpile structure have proven to be accessible by a largvariety of different techniques. Thus, chiral layer-by-layer structureappear to be attractive as well.

    We fabricate and characterize polymeric three-dimensional layer-blayer chiral photonic crystals via direct laser writing in the commerciaavailable photoresist SU-8. The obtained circular dichroism fropolarization stop bands is comparable to that of recently demonstratecircular-spiral photonic crystals. For the here presented layer-by-laychiral photonic crystals, the transmittance in the polarization stop bancentered around 1.55 m wavelength is about 91% for right-handcircular incident polarization (RCP) impinging on a left-handed structuand about 2% for left-handed circular incident polarization (LCP) and tsame structure. As expected for ideal structures without unintentionlinear birefringence, LCP and RCP interchange for a right-handestructure.

    Conference 6883: Advanced Fabrication Technologiesfor Micro/Nano Optics and Photonics

  • 7/31/2019 Moems Mems 2008 Abstracts

    11/42

    415 spie.org/pw TEL: +1 360 676 3290 +1 888 504 8171 [email protected]

    6883-21, Session 5

    Metallo-dielectric nanophotonic materials via directlaser writing and electroless metallization

    S. M. Kuebler, Y. Chen, A. Tal, College of Optics & Photonics/Univ. ofCentral Florida

    Interest in three-dimensional (3D) metallo-dielectric photonic crystals(MDPCs) has grown considerably given their potential applications in

    optics and photonics. MDPCs can exhibit intriguing and potentially usefuloptical properties, including ultra-wide photonic bandgaps, engineeredthermal emission, and negative refractive index. Yet experimentalstudies of such materials remain few because of the difficultiesassociated with fabricating 3D micron- and sub-micron-scale metallicstructures. We report a route to MDPCs based on metallization of a3D polymeric photonic crystal (PC) fabricated by multi-photon directlaser writing. Polymeric PCs having face-centered tetragonal symmetryand micrometer-scale periodicity were created using a cross-linkableacrylate or epoxide pre-polymer. The resulting PCs were metallizedby electroless deposition of silver or copper. Analysis of the metallizedstructures in cross-section by scanning electron microscopy (SEM)and energy dispersive X-ray spectroscopy shows that silver depositedconformally onto the entire micro-porous lattice. The dielectric andmetallized PCs were characterized by Fourier transform infrared (FTIR)spectroscopy. The polymer photonic crystals exhibit a stop band withstrong reflectance near 4 to 6 microns, depending upon the lattice

    period. In contrast, FTIR spectra of the metallized PCs show widenedstop bands of nearly 6 microns and greater and maximum reflectanceexceeding 90%. The appreciable broadening of the stop band due tothe presence of the deposited metal is a result consistent with previouslyreported theoretical and experimental data for all-metallic 3D PCs. Thus,the approach reported here appears suitable for fabricating 3D MDPCsof many symmetries and basis sets and provides a path for integratingsuch structures with other micron-scale optical elements.

    6883-22, Session 6

    Low-refractive index materials: A new class ofmaterials for photonic applications

    E. F. Schubert, J. K. Kim, Rensselaer Polytechnic Institute

    The refractive index, a most fundamental quantity in optics andoptoelectronics, determines many figures of merit of optical components

    such as reflectors, filters, and resonators. Here we present a new classof optical thin-film materials with a very low refractive index. Low-refractive-index thin films (low-n films) are suitable to revolutionize thefield of photonics as we know it today. High-quality specular films ofoptical quality with refractive indices as low as 1.05 are demonstrated.

    A series of new optical components and devices will be presented,illustrating the usefulness of the low-index materials. For example, wewill present a graded-index omni-directional anti-reflection coatingbased on low-n materials that virtually eliminates Fresnel reflectionover a broad wavelength range. Whereas conventional anti-reflectioncoatings are non-reflective at only a single wavelength, the graded-index anti-reflection coatings are non-reflective over an arbitrarily broadwavelength range. Furthermore, we will present a conductive distributedBragg reflector made of only a single material, indium tin oxide. Theapplication of the new material in light-emitting diodes and solar cellswill be discussed as well.

    6883-23, Session 6

    Fabrication technology for highly anistropic dielectricstructures with special regard to pulse compressionapplications

    M. K. Bender, C. Schild, H. Heeren, D. Mademann, JENOPTIK Laser,Optik, Systeme GmbH (Germany); T. Lindsey, G. T. Borek, MEMS Op-tical, Inc.; P. W. Weissbrodt, JENOPTIK Laser, Optik, Systeme GmbH(Germany)

    The availability of highly efficient pulse compression techniques isa prerequisite for a large range of applications, including materialprocessing, health care, etc.. Going down to the fs-pulse regime,

    compression strategies using gratings, either in transmission or reflectiooperation mode, is becoming more attractive.

    We report on the fabrication technology of pulse compression gratingin different dielectric materials. Both, transmission as well as reflectiogratings, have been investigated in detail. Specifically, highly efficiedielectric reflection grating fabrication is challenging since they consof multilayer dielectric materials featuring novel materials.

    In this work both, the lithographic definition of the structures as well

    the transfer etching techniques have been developed. The definitioof nanostructures has been carried out via electron beam lithographfeaturing a high flexibility with dimensional accuracy. The structutransfer becomes challenging by the introduction of new materials likHfO2 and Ta2O5 and requires process adapted etching strategies.

    The results of this fabrication technology development for highanisotropic nanostructures in different dielectric materials, includintheir effects on the optical performance in pulse compression gratinapplications will be presented and discussed.

    6883-24, Session 6

    A new approach for antireflective fused-silica surfacesby statistical nanostructures

    M. Schulze, H. Fuchs, E. Kley, Friedrich-Schiller-Univ. Jena (GermanyA. Tnnermann, Fraunhofer-Institut fr Angewandte Optik und Fein-mechanik (Germany) and Friedrich-Schi ller-Univ. Jena (Germany)The deposition of multilayer-coatings is a commonly used technologfor the fabrication of antireflective surfaces. However, antireflectivcoatings are limited in terms of angle acceptance of the incident light anapplication to pre-structured surfaces such as gratings or holograms. Fthose special requirements new methods are in demand. Nanostructuresurfaces, so-called motheye structures, act as a broadband antireflectivsurface as well. But the creation of such sub-wavelength structures is sa challenging issue. In this work we present a new technique in order create antireflective surfaces with the help of statistical nanostructures ofused silica. A specific plasma etching process was found to serve thpurpose, as thereby nanostructures are created through self-maskinTherefore, aluminum has to be present in the etching chamber. Durinthe etching process aluminum is a source for microcontaminations. Threaction of aluminum and fluorine provided by the etching chemistry, isputtering and polymer generation lead to those contaminations. Undspecific etching conditions the contaminations create nanostructures the surface. In this way lateral structures with dimensions down to 20 nwhich act as an antireflective nanostructure, can be achieved. Structurcreated in this way raise the transmission of fused silica in the wavelengrange from 370 nm to 500 nm to more than 99.5%. Within the close Urange (from 200 nm up to 400 nm) the transmission can be raised b4.8% to 97.1% on average. Hydrocarbons adsorbed during the proceof deterioration of a sample as well as mechanical influence reduce thtransmission degree. However, the adsorption of hydrocarbons can bdiminished by means of a temperature treatment.

    6883-25, Session 6

    Grayscale homogenizers in calcium fluoride

    J. S. Lawrence, L. R. Simmons, J. G. Smith, A. Stockham, G. T. BoreMEMS Optical, Inc.; M. Cumme, R. Kleindienst, JENOPTIK Laser,Optik, Systeme GmbH (Germany)

    Standard UV materials, such as ArF-grade fused silica, have impuritiethat lead to low transmittance, high absorption, and fluorescencwhen exposed to high irradiance. Due to its low defect density anhigh transmission in the deep UV regime, calcium fluoride (CaF2) a promising material for use as an optical diffuser for applications 157nm, 193nm, and 248nm. In this paper, we discuss our method ffabricating Gaussian homogenizers in calcium fluoride using a grayscaphotolithography process. Refractive microlens array homogenizeand Gaussian generators have been fabricated in CaF2 and tested 193nm for efficiency and uniformity. Using an excimer laser, uniformresults were obtained for cylindrical lens arrays in tandem and crossed observe the homogeneity in an imaging configuration and for producin

    Conference 6883: Advanced Fabrication Technologiesfor Micro/Nano Optics and Photonics

  • 7/31/2019 Moems Mems 2008 Abstracts

    12/42

    spie.org/pw TEL: +1 360 676 3290 +1 888 504 8171 [email protected] 41

    Conference 6883: Advanced Fabrication Technologiesfor Micro/Nano Optics and Photonics

    a square output. Efficiency, uniformity, and zero order measurementsare provided for the Gaussian generators.

    6883-26, Session 6

    Spectroscopic ellipsometry characterization of silicon/silicon-dioxide superlattices for photoluminescenceand electroluminescence

    T. Creazzo, L. M. Prather, B. Redding, S. Shi, D. W. Prather, Univ. ofDelaware

    Silicon based light emitting materials are of particular interest forintegrating electric and photonic devices into an all-silicon platform.The progress of nano-scale fabrication has led to the ability to realizesilicon emitters based on quantum confinement mechanisms. Quantumconfinement in nano-structured silicon overcomes the indirect bandgappresent in bulk silicon allowing for radiative emissions. Silicon/silicondioxide superlattices employ two-dimensional confinement leading tolight emission. Strong photoluminescence (PL) has been demonstrated inSi/SiO2 superlattices, confirming the presence of quantum confinementeffects. Our super lattice structures are grown using plasma enhancedchemical vapor deposition (PECVD) with alternating layers of silicon andsilicon dioxide. Sub-10 nanometer periods are confirmed via transmissionelectron microscopy (TEM) and x-ray reflectivity (XRR) studies.However, consistent and predictable PL and electroluminescence (EL)

    relies on precise measurement and control of the deposition process.Spectroscopic ellipsometry (SE) offers a non-destructive extremelysensitive method of optical characterization which provides us withthe required control. We present characterization of our superlatticestructures using spectroscopic ellipsometry. The ellipsometer allows usto measure optical properties of the individual layers of ultra-thin siliconand silicon dioxide. Using the obtained material properties, we generatedeposition rate curves for very specific PECVD recipes and apply thisinformation to further SE characterization and modeling of multi-periodsuperlattice structures. The final characterization allows us to confirmmeasurements taken previously via TEM and XRR.

    6883-36, Poster Session

    A fabrication technique for microlens array with highfill-factor and small radius of curvature

    H. Hsieh, G. J. Su, National Taiwan Univ. (Taiwan)

    In this paper, we propose a technique for microlens array (MLA)fabrication based on lithography and thermal reflow process. There areonly one photo mask and two opposite tone PR to complete full process.The boundary of MLA is firstly defined by a thin negative photoresist(PR) layer. Then, the second thick PR cylinders are patterned inside themicroholes defined by the first PR layer. MLA is formed after heating. Inthe past process, the gaps between the thick PR cyl inders are large dueto the diffraction effect. So, the fill-factor is low. To increase the fill factor,some residual PR between the cylinders makes the PR flow outward.But the PR cylinders merge together easily at inaccurate reflow timeand temperature distribution. It results in small radius of curvature andpoor uniformity. These are critical for large area application such as LCDbacklighting. In our experiment, the first thin PR becomes a limiting wall,no cylinders merge together even over reflowing. Therefore, both theuniformity and the radius of curvature can be well controlled. Besides,the gap is small and the fill-factor is very high due to the diffraction

    effect is not significant at first thin PR layer. The results showed that the50 m pitch MLA with only 2 m gap in hexagonal arrangement. Thefocal length is 50 m and the radius of curvature is 28 m calculatedby a replicate transparent polymer MLA thin film under microscope andbottom emitting light.

    6883-37, Poster Session

    Design of axially superresolving phase pupil filter forhigh-numerical aperture applications

    T. Jabbour, S. M. Kuebler, College of Optics & Photonics/Univ. ofCentral Florida

    The method of generalized projection was used to design a phase pupil

    filter that produces in the non-paraxial regime an axially superresolvepoint-spread-function (PSF) with controlled side-lobe intensities. Thresulting phase pupil filter has a binary 0/pi eleven-zone rotationasymmetric profile. The filter can axially narrow the PSF central lobe b29% while maintaining the side-lobe intensity at or below 52% of tpeak intensity. Although the filters performance is satisfactory in theoit can be greatly compromised by imperfections introduced durinexperimental implementation. Such imperfections include fabricatioerrors, surface quality variation, and optical misalignment. A model baseon Wolfs electromagnetic diffraction integrals was used to simulate anquantitatively analyze the effect of these imperfections on the axiasuperresolved PSF.

    6883-38, Poster Session

    Experiments and characterization of two photonpolymerization using 1 KHz femtosecond laser system

    P. S. Shiakolas, N. Uppal, The Univ. of Texas at Arlington

    Two Photon Polymerization (2PP) is a powerful technique to fabrica2-D and 3-D microstructures by focusing ultrashort pulses inside tpolymer resin containing photo initiator. By scanning the focused lasbeam in bulk resin, an arbitrary complex three dimensional pattecan be fabricated with micrometer resolution. This work presents aattempt to fabricate microstructures using 2PP with a Ti:sapphi

    femtoseond laser working at 800 nm with a pulse width of about 100 fThe maximum pulse repetition rate of the laser system is 1 KHz, whicis much smaller as MHz systems are normally used for polymerizatioprocess. Also, dry lenses have been used instead of oil immersion lenseand this makes characterization of the fabrication process different thepreviously published research in this area. Characterization experimenare performed on commercially available acrylate monomer anphotoinitiator. The polymerization threshold and damage threshold of tmonomer is evaluated. Voxel size is also measured experimentally anits relationship with varying input power and photoinititor concentratiois identified. Also the effect of self focusing and filament formation othe fabricated microfeatures is presented.

    6883-39, Poster Session

    Enhancing direct-write laser control techniques forbimetallic grayscale photomasks

    G. H. Chapman, J. M. Dykes, C. Plesa, Simon Fraser Univ. (Canada)Novel grayscale photomasks are being developed consisting of bimetalthin-films of Tin on Indium (Sn/In) with optical densities (OD) ranginfrom ~3.0OD to 6gray level masks become possible. An application of the feedback systeis towards the production of beam-shaping masks. When placed in thunfocussed path for the writing system, they improve the consistency the grayscale patterns by altering the laser to have a more uniform tohat distribution. To quantitatively analyze the consistency improvemeoffered by beam-shaping masks, an iterative approach is used wheone beam-shaping mask is used to manipulate the laser while patterninthe next. On each iteration, test patterns of the same transparency awritten and measured. The feedback system aids the production beam-shaping masks since the processes of writing, verifying, and usinthe mask are all performed with the same wavelength. In developing thfeedback system, two methods were examined for verifying grayscapatterns. The first utilizes the writing systems focused beam along witwo photodiode sensors; the second utilizes image analysis techniqueon lower resolution microscope images.

  • 7/31/2019 Moems Mems 2008 Abstracts

    13/42

    417 spie.org/pw TEL: +1 360 676 3290 +1 888 504 8171 [email protected]

    Conference 6883: Advanced Fabrication Technologiesfor Micro/Nano Optics and Photonics

    6883-40, Poster Session

    Fabrication of 3D polymer photonic crystals for near-IRapplications

    P. Yao, L. Qiu, S. Shi, G. J. Schneider, D. W. Prather, Univ. of Dela-ware; A. S. Sharkawy, E. J. Kelmelis, EM Photonics, Inc.

    Photonic crystals have stirred enormous research interest and becamea growing enterprise in the last 15 years. Generally, PhCs consist

    of periodic structures that possess periodicity comparable with thewavelength that the PhCs are designed to modulate. If material andperiodic pattern are properly selected, PhCs can be applied to manyapplications based on their unique properties, including photonic bandgaps (PBG), self-collimation, super prism, etc. Strictly speaking, PhCsneed to possess periodicity in three dimensions to maximize theiradvantageous capabilities. However, many current research is based onscaled two-dimensional PhCs, mainly due to the difficulty of fabricationsuch three-dimensional PhCs.

    Many approaches have been explored for the fabrication of 3D photoniccrystals, including layer-by-layer surface micromachining, glancing angledeposition, 3D micro-sculpture method, self-assembly and lithographicalmethods. Among them, lithographic methods became increasinglyaccepted due to low costs and precise control over the photoniccrystal structure. There are three mostly developed lithographicalmethods, namely X-ray lithography, holographic lithography and two-photon polymerization. Although significant progress has been madein developing these lithography-based technologies, these approachesstill suffer from significant disadvantages. X-ray lithography relies on anexpensive radiation source. Interferometric lithography lacks the flexibilityto create engineered defects, and multi-photon polymerization is notsuitable for parallel fabrication.

    In our previous work, we developed a multi-layer photolithographyprocesses that is based on multiple resist application and enhancedabsorption upon exposure. Using a negative lift-off resist (LOR) and254nm DUV source, we have demonstrated fabrication of 3D arbitrarystructures with feature size of several microns. However, severeintermixing problem occurred as we reduced the lattice constant fornear-IR applications. In this work, we address this problem by employingSU8. The exposure is vertically confined by using a mismatched 220nmDUV source. Intermixing problem is eliminated due to more denselycrosslinked resist molecules. Using this method, we have demonstrated3D woodpile structure with 1.55m lattice constant and a 2mm-by-

    2mm pattern area.

    6883-27, Session 7

    Stretchable polymer photonic crystals

    J. J. Baumberg, Univ. of Cambridge (United Kingdom); O. Pursiainen,Univ. of Southampton (United Kingdom); B. Viel, P. Spahn, T. E. Ruhl,Technische Univ. Darmstadt (Germany)

    Structural color in nature arises mostly from optical interference ofmultiple light paths reflected inside periodically-textured materials.Na