MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

Embed Size (px)

Citation preview

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    1/33

    MST MEMS compact modeling meets model

    order reduction: Requirements and

    Benchmarks

    Jan Lienemann , Evgenii B. Rudnyi and Jan G. KorvinkIMTEK Institute for Microsystem Technology, Albert Ludwig University

    Georges Kohler Allee 103, D-79 110 Freiburg, Germany

    Abstract

    Needs for model reduction in microsystem technology (MST) are described froman engineering perspective. The MST model reduction benchmarks are presented inorder to facilitate further development in this area. The first benchmark applicationis electro-thermal simulation and the second one is an electrostatically actuatedbeam. Model reduction is contrasted with compact modeling, which currently enjoyswidespread use among engineers, and important problems to be solved are listed.

    Key words:

    Model order reduction, compact modeling, MST, MEMS, benchmark,electro-thermal simulation, electrostatic actuation, mechanical beam

    1 Introduction

    The approximation of large-scale dynamic systems (Antoulas and Sorensen,2001), or model order reduction for short, is a fast evolving area of mathe-matics. The ultimate goal is to find a good low-dimensional approximationto a high dimensional system of ordinary differential equations (ODEs). Thedevelopment in this area is driven by diverse engineering applications (Rudnyiand Korvink, 2002) that would benefit significantly if this can be done in acompletely automatic fashion.

    Corresponding authorEmail addresses: [email protected] (Jan Lienemann), [email protected]

    (Evgenii B. Rudnyi), [email protected] (Jan G. Korvink).URL: http://www.imtek.de/simulation (Jan Lienemann).

    Preprint submitted to Elsevier Science 8 June 2004

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    2/33

    In the present paper, the needs for model order reduction are presented from aviewpoint of microsystem technology (MST). MST is an engineering disciplinewhich is enganged in production and characterization of multiphysics deviceswith features sizes in the micrometer to millimeter range (Menz et al., 2001;Gad-el-Hak, 2002; Nathan and Baltes, 1999; Senturia, 2001). These devices areoften refered to as microelectromechanical systems (MEMS) although manyfeature fluidic or optical (MOEMS, BioMEMS, etc.) components. In thefollowing, MEMS will be used for a device procuced with MST.

    Computer simulation of MEMS starts with governing partial differential equa-tions (PDEs) describing the underlying physics of the device. One example ofsuch a PDE would be the heat transfer equation (13) of the the electro-thermalexample below, where the change in temperature of a material is related to theheat flux from the surrounding material into this point and heat generation atthat point. These equations are either gained from experimental observationsand intuition or derived from more fundamental equations.

    Then a high dimensional system of ODEs is obtained during the discretiza-

    tion in space of the original PDE, e.g., by the finite element method (FEM),which integrates the PDE over a number of small non-overlapping subsets ofthe complete simulation domain. The goal of our paper is to present, froman engineering perspective, specific challenges and problems that arise duringthis process. We do not present solutions, however, we describe two specificproblems in detail and present the description of ODEs in computer-readableformat. We hope that they will serve as MST model order reduction bench-marks.

    We start this paper with a general description of MEMS devices: how dynamic

    systems arise and what the challenges are for simulation. We then review twopossible approaches to handle these challenges: compact modeling and modelorder reduction.

    The term compact modeling enjoys widespread use in electrical engineer-ing. The goal of compact modeling is about the same as that of model orderreduction, that is, to produce a low-dimensional system of ODEs. However,the way of electrical engineers to achieve this goal is completely different. Webelieve that for the model order reduction community it useful to know aboutsuccesses and limits of compact modeling and have made a short overview of

    this topic.

    It should be noted that, in most cases, our citations should actually be readas see, for example, Ref . . .

    2

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    3/33

    2 Dynamic Systems in MST

    MEMS devices are transducers that convert signals between electronics and allother energy domains. This separates MEMS from purely electronic devices(such as very large scale integration or VLSI transistors and other circuitelements).

    Typical earlier examples of MEMS technology are the microgyroscopes and

    crash detection microaccelerometers found in modern automobiles. Nowadaysthe application of MEMS cover a vast range of areas, all the way from minimalinvasive surgical instrumentation, through on-chip laboratories, to instrumen-tation for household appliances.

    SOI

    Transducer

    Electroplated

    Electroplated

    beam

    Electroplated

    Released beam

    (b) Gap to substrate(c)

    electrode

    electrode

    electrode

    gap

    Anchor

    Anchor

    Inputelectrode

    Output electrode

    Beam

    Transducer gaps

    Fig. 1. A MEMS RF filter. The systems consists of a resonating beam and two elec-trodes at its sides. From left to right: Schematic drawing of a horizontally oscillatableresonator with input- and output electrode and released clamped-clamped beam,SEM micrograph of top view, side view of the beam. Courtesy of Bartholomeycziket al. (2003).

    It is the coupling functionality in their role as transducers that results inso many special requirements for the modelling of MEMS. Let us considerthe specific case of an electromechanical radio frequency (RF) filter shown inFig. 1. Here a very slender current conducting beam is suspended over a secondconductor that is connected to a separate circuit. There is a micrometer sizedairgap between the two conductors. The current in the beam carries a signal inthe form of a voltage modulation in the RF range. This signal capacitively andinductively couples with the second conductor. The electrodynamic force onthe beam causes it to deflect and vibrate in response to the varying voltage.At the same time the air gap is modulated which causes both the inducedvoltage/charge to modulate, as well as the force between the conductors tovary. Engineers design such filters for use in mobile phones, and require theability to tune them to work as band filters within banks so as to pick outa desired frequency band. Hence accurate models are required that correctly

    capture the behaviour of technological variants.

    A mathematical model of an RF-switch should include at least the couplingbetween two physical domains: electromagnetics and structural mechanics, inother words, couple the Maxwell and elasticity PDEs. Their discretization

    3

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    4/33

    in space leads to the nonlinear ODEs of the second order, that is, to high-dimensional nonlinear dynamic system.

    Many MEMS devices have a similar story, for example, microfluidic applica-tions often couple the Navier-Stokes equations with those of surface tension,chemical and thermal diffusion, and even fluid-structural interaction.

    In order to build a numerical model of their device the engineers use some

    domain solver for partial differential equations, such as a commercial finiteelement program. After meshing and discretization, the resulting models aresystems of ODEs. The systems can be extraordinarily large (dimension 100000 is routine), typically aggrivated by the coupling of multiple fields to besolved for (such as pressure, temperature, electrostatic potential, flow veloc-ity, mechanical displacement) and are often nonlinear. In principle they canbe simulated by brute force, i.e., by fast computers with a large memory run-ning simulations for a long time. Yet the high computational cost puts hardconstraints on how engineers can use the accurate finite element models in thedesign process.

    An important part of the design process is so-called system level simulationwhen engineers want to test how the device will work with the rest of theelectronic circuitry. A device model in the form of an ODE system can beadded to circuit simulators but if the model dimension is high then jointsimulation becomes practically impossible especially when the circuit modelis by itself a VLSI model. And this is really where model order reduction hasa further and very important role to play.

    From a computer aided engineering (CAE) viewpoint, it is most desirableto be able to derive levels of model abstraction from a single source, i.e., to

    start with 3D device simulation via some FEM solver, and steadily progresstowards more compact representations by deriving these from the detailedmodel (the FEM model) which already represents a tremendous investmentin design effort. Furthermore, if such a device is used more than once in alarge system (e.g., the Texas Instruments DLP micromirror array chip usedfor video projection displays has more than 1000000 individually movableMEMS mirrors) then it is absolutely imperative that we are able to derivehighly compacted models that nevertheless capture as much of the nonlinearbehaviour as possible. Ideally, this whole procedure should be made automatic,i.e., with only the minimum of user intervention.

    At present, formal model reduction is used rarely among MST engineers. Muchmore often they employ compact modeling in order to solve the problem de-scribed above. In the next section we consider a typical engineering currentpractice to produce compact models.

    4

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    5/33

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    6/33

    n

    t= (nn + Dnn) Rn (2)

    p

    t= ( pp + Dpp) Rp, (3)

    where the dielectric permittivity of the semiconductor material, is theelectric potential, q the unit charge, N0 is the difference between the donorand acceptor doping density, n and p are the densities of negative and positivecharges (electrons and holes), n and p are the respective mobilities, Dn and

    Dp are the diffusion constants, and Rn and Rp are netto recombination rates(for optical devices, the recombination rate is lowered by the generation ofcarriers by photons). The recombination rates are a function of the potentialand the carrier concentration.

    For high frequency operation, the Maxwell equations must be considered aswell. As a result, even larger problems have to be solved than described above.

    A practical solution found by electrical engineers to achieve the goal of com-pact modeling is quite simple. Let us consider it with an example of a tran-sistor. Kielkowski (1995) gives a general overview and Enz and Cheng (2000);

    Ati et al. (2000) are examples of recent research papers.

    The transport PDEs for electrical carriers can be solved in closed form for somesimplest one-dimensional cases, for example for a diode. These results can beused to build a semi-empirical equation to model transistor behavior. Forexample, in the simplest case a transistor can be considered as a combinationof two intimately coupled diodes, that is a one-dimensional structure of threeattached semiconductor blocks with different doping.

    With voltages and currents as in fig. 2, this results in the following system ofequations (Sze, 1985):

    IE= IF0

    eqVEB/kT 1 RIR0

    eqVCB/kT 1

    IC= FIF0

    eqVEB/kT 1

    IR0

    eqVCB/kT 1

    (4)

    where q is the unit charge, k the Boltzmann constant and T the temperature.The parameters IF0, R, IR0 and R only depend on geometry, doping andmaterial properties.

    We call this equation semi-empirical because it does not describe the transis-

    tor behavior exactly but nevertheless it has some physical background. Theequation contains parameters IF0, R, IR0, F that originally have some phys-ical sense. When (4) is used for a real 3D geometry, it is possible to say thatthe estimated response is still physically valuable but the parameters shouldbe treated as effective. This means that one cannot determine them from ge-

    6

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    7/33

    IC

    VCB

    VEB

    IE

    IB

    E

    C

    B

    E

    E E

    C

    C

    B

    B

    IE

    VEB VCB

    IC

    IB

    IRIF

    IFFIRR

    a)

    b)

    c)

    d)

    Fig. 2. Different modeling approches for a p-n-p transistor. a) Transistor representa-tion for circuit diagram. b) Ebers-Moll compact model of a transistor. c) Compactmodel for small signal dynamic behaviour analysis. d) Mesh for numerical discretiza-tion of PDEs. b) and c) are adapted from Sze (1985).

    ometry and real materials properties but rather should use fitting to measuredor simulated curves. In addition, to render the equation able to describe a realtransistor qualitatively more parameters are to be added for fitting purposes.Thus, the physical sense of the final set of unknown parameters it is muchmore difficult to define. This constitutes the first and the most important step

    of compact modeling, that clearly cannot be formalized but rather is based onexperience and intuition.

    The second step is so-called parameter extraction based on experimentallymeasured volt-ampere characteristics. After that, the model can be applied todescribe a particular transistor model. It is inserted directly into SPICE-likesoftware and its simulation requires little computational effort as comparedwith the original transport PDEs. One model with different parameter setscan be quite good for several different transistors. Because of the data fittingprocedure, the resulting model works rather well provided that the functional

    behavior was guessed correctly during the first step.

    As technology develops, the old transistor model for a number of reasonscannot be applied any more to a newly developed transistors and newer modelsare being developed. After a new parameter extraction, they are again used

    7

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    8/33

    by electrical engineers for circuit design.

    This process has been working very successfully for a long time. This sends themain message to the model reduction community, that is the model reductionof quite tough nonlinear problem like the transport PDEs for electrical carrierscan be done in principle. If electrical engineers were quite successful so far withtheir approach to compact modeling, this shows that probably there shouldbe a formal way to achieve the same starting from the original PDEs. In otherway, this proves in an empirical fashion that a desirable reduced model exists.

    The compact modeling approach can be successful provided that there is a biglag time between inventing technology and its industrial application. In thiscase, a new technology first reaches a research community that develops ap-propriate functional forms to describe new device functioning. Only then, thedesign engineers can parametrize these models for their specific applicationsand use to design a final circuitry.

    Yet, at the moment, this requirement becomes a bottleneck for new technolo-gies to reach the production stage and industry searches alternative ways to

    have reduced models. This clearly concerns MST area where the number ofdifferent devices is too big to hope that one can apply the above approach.Here it happens that a community working on a particular device just does nothave a researcher with enough experience and intuition to develop the com-pact model. And when the compact model is finally developed, it well may bethat the interested parties have already switched to another technology.

    The current industry response is to try to standardize compact models both fortransistors (Brooks, 1999) and MEMS (Cui, 2003) with the hope that jointexpert efforts allow it to speed up the process of creating compact models.However, in our view this clearly contradicts with the very nature of the tech-

    nological development. In our opinion, the only solution is to switch to modelreduction, which can be considered as Compact Modeling on Demand. Thekey issue is here to make it completely automatic and robust.

    Model reduction can require large computational efforts. We would like tostress that in the case considered this might well be acceptable. Compactmodeling as described above requires a long involvement time of highly edu-cated personnel. As a result, industry is interested in automatic computationalprocedure that produces the same result even for long computational time. Anupper bound for allowable computational time comes from the approach when

    the device PDEs are solved numerically just by brute force with high computa-tional efforts and this is combined with circuit simulation in real time (Grasserand Selberherr, 2000). In this case, the clear advantage of model reduction isthe reusability of the results and thus considerable saving of computationalefforts after completion of model reduction.

    8

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    9/33

    4 MST Model Reduction

    In parallel with compact modeling, the MST engineers use model reductionapproaches (Rudnyi and Korvink, 2002; Mukherjee et al., 2000; Cheng et al.,2000a), even though the number of works here is much less than in compactmodeling. The pioneers happen to be again electrical engineers. Even thoughthey directly form a circuit ODE model from lumped, i.e., already compacted,abstract elements, the system dimension becomes quite high because the ele-

    ment integration on a chip is rapidly increasing. Another reason is a so-calledinterconnect problem (Cheng et al., 2000b), when a long transmission linemanifests parasitic capacitance and inductance at high frequencies. For thelast ten years or so, the community of electrical engineers has researched a lothow to apply model reduction of linear ODE systems.

    The common notation for these linear systems is as follows:

    First order linear ODE system (Examples: Heat conduction, diffusion):

    Ex(t) = Ax(t) + Bu(t)

    y(t) = Cx(t) (5)

    u : R Rm is called the input of the system, y : R Rp the systemsoutput, B Rnm the load or scatter matrix, C Rpn the output orgather matrix, and x : R Rn is the state vector, which captures theinternal state of the system. The system matrices E Rnn and A Rnnare where geometry and material properties enter the equation.

    Second order linear ODE system (Examples: Structural mechanics, electro-magnetics):

    Mx(t) + Ex(t) + Kx(t) = Bu(t)

    y(t) = Cx(t) (6)

    Since this kind of system often occurs in structural simulations, the systemmatrices are often named after their physical origins: M Rnn the massmatrix, E Rnn the damping matrix, and K Rnn the stiffness matrix.

    It is important that a reduced model preserves such properties of the originalmodel as stability and passivity.

    There exists a large number of important results supporting these efforts; some

    examples are given in Table 1. The most advanced results here are establishedby control theory, which allows us to make the strong statement that modelreduction of a linear dynamic system is solved in principle. This means thatthere are methods (for example the truncated balanced approximation, thesingular perturbation approximation, and the Hankel-norm approximation)

    9

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    10/33

    Name Advantages Disadvantages

    Control theory (Trun-cated Balanced Ap-proximation, SingularPerturbation Approx-imation, Hankel-NormApproximation)

    Have a global error esti-mate, can be used in afully automatic manner

    Computational complex-ity is O(N3), can be usedfor systems with orderless than a few thousandunknowns only.

    Pade approximants

    (moment matching)via Krylov subspacesby means of either theArnoldi or Lancsozprocess

    Very advantageous com-

    putationally, can beapplied to very high-dimensional 1st orderlinear systems.

    Does not have a global

    error estimate. It is nec-essary to select the or-der of the reduced sys-tem manually.

    SVD-Krylov (low-rankGrammian approxi-mants)

    Have a global error esti-mate and the computa-tional complexity is lessthan O(N2).

    Just under development.

    Table 1Methods for model order reduction of linear dynamic systems (after Antoulas andSorensen (2001)).

    with guaranteed error bounds for the difference between the transfer functionof the original high-dimensional and reduced low-dimensional systems. Thismeans that model reduction based on these methods can be made fully auto-matic. A user merely has to set an error bound, and then the algorithm willfind the smallest possible dimension of the reduced system which satisfies thatbound. Alternatively, a user specifies the required dimension of the reducedsystem and then the algorithm estimates the error bound for the reducedsystem. Unfortunately, the computational complexity of this algorithms is of

    order O(N

    3

    ), with N the order of the system of ODEs. Hence, if the system or-der doubles, the time required to solve a new problem will increase about eightfold. In other words, even though this theory is valid for all linear dynamicsystems, practically we can use it for small systems only.

    Most of the practical work in model reduction of large linear dynamic sys-tems has been tied to Pade approximants (so-called moment matching) ofthe transfer function via Krylov subspaces (Bai, 2002) by means of either theArnoldi or the Lanczos process. Those methods assume that the system canbe projected on a considerably smaller subspace,

    x = Vz + (7)

    such that the transfer function from the input to the output of the system isapproximated. (5) and (6) then read

    10

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    11/33

    Erz(t) = Arz(t) + Bru(t)

    y(t) = Crz(t) (8)

    and

    Mrz(t) + Erz(t) + Krz(t) = Bru(t)

    y(t) = Crz(t) (9)

    where Er = WTEV, Ar = WTAV, Mr = WTMV, Kr = WTKV, Br =WTB, and Cr = CV, the projection matrices W and V being the output ofthe model order reduction algorithm.

    In the literature, there are some spectacular examples where, using this tech-nique, the dimension of a system of ordinary differential equations was reducedby several orders of magnitude, almost without sacrificing precision. The dis-advantage is that Pade approximants do not have a global error estimate, andhence it is necessary to select the order of the reduced system manually (Baiet al., 1999).

    Recently, there have been considerable efforts to find computationally effec-tive strategies in order to apply methods based on Hankel singular valuesto large-scale systems, the so-called SVD-Krylov methods based on low-rankGrammian approximants (Penzl, 2000; Li and White, 2002; Bada et al., 2002).However, they are currently under development and engineers will have to waitfor the experience of mathematicians grows in this field.

    This knowledge transfers gradually to other engineering communities. Thecurrent status of research in the engineering community can be seen fromrecent publications (Barbone et al., 2003; Bechtold et al., 2003; Codecasaet al., 2003; Phillips, 2003; Rewienski and White, 2003; Sidi-Ali-Cherif andGrigoriadis, 2003; Watanabe and Asai, 2003), where one can see also a cleartrend to try to find out the way for model reduction of nonlinear systems.

    We will finish this section by a discussion of a few questions in model reduc-tion related to the nature of the MST problem, which, in our view, are quiteimportant.

    A conventional way of model reduction is to apply it to an ODE systemmade by discretization of PDEs in space. Along this way, the original dynamicsystem for model reduction is already an approximation. The reduced model

    may reproduce this system quite well but it might be fall short of engineeringrequirements because of the bad quality of the discretization mesh. Hence, avery important question to consider is whether one can come to a reducedmodel directly starting from PDEs without their space discretization. We areaware about only a single paper with such results for the heat transfer equation

    11

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    12/33

    (Codecasa et al., 2002) coming from engineering community. We believe thatthis question should attract more attention from mathematicians.

    Another important issue is how to preserve geometrical and material param-eters during model reduction in the symbolic form. At present, if one wouldlike to change geometry or other properties used during discretization, modelreduction has to be made again. This limits the application of model reductionmethods in many engineering design problems such as geometry and topologyoptimization. In other words, it would be good if model reduction can produce

    not a numerical reduced model but rather a functional form analogous to thefirst part of compact modeling. After all, the so called process of parameterextraction can be made more or less formal as there is a lot of research inmathematical statistics, results of which can be applied here. We are awareof only two engineering papers in this respect (Daniel et al., 2004; Gunupudiet al., 2003).

    However, a numerical model reduction remains very important by itself. Eventhough it does not cover all engineering necessities it can be used to solve theproblem of system-level simulation without geometry optimization. This isstill very important because it allows to design an intelligent electrical circuitfor given geometrical and materials parameters.

    Finally, a challenge is how to connect reduced models to each other in generalcase. In the example of transistor, this question does not appear, a transistorhas natural inputs and outputs in the form of base, source and drain withwhich it is connected to the rest of the circuit. However, if we take a heattransfer equation, then this question is not quite clear. An evident solution totake the whole device as an input for model reduction clearly does not scalewell. A more realistic approach is to make a model reduction for device partsindependently and then combine them, but the question is how? A typical

    engineering answer is substructuring [FIXME: add reference] when all in-terface nodes are preserved in the reduced model. However, it is unclear howto use these ideas in the case of formal model order reduction expressed by(9) and (9). We are aware of a single engineering paper (Petit and Hachette,1998) and we believe that it needs much more research work.

    5 Representation of Benchmarks

    Model reduction starts with a system of ODEs and the benchmark goal is torepresent typical ODEs obtained after the discretization of a MST model. Assuch, it is necessary to choose a computer readable format to represent an ODEsystem. This question has been discussed among members of Oberwolfachworkshop on model reduction. The representation can be relative simple in the

    12

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    13/33

    case of linear systems when one should keep just constant system matrices.The suggested solution is to write matrices in Matrix Market format [FIXME:MM reference] when each matrix is described by a single file with the name

    BenchmarkName.MatrixName

    where MatrixName is an upper-case letter according to naming convention of(5) for first-order and (6) for second-order ODE systems.

    For nonlinear systems, we suggest the format described on the IMTEK MORwebsite. Its syntax is similar to a Matlab file. The format should allow tospecify the matrices of (6) along with all possible nonlinearities added to thesystem:

    Mx + Ex + Ax= Bu + Fg(x,u)

    y = Cx + Df(x,u), (10)

    f and g are vector valued nonlinear functions and the matrices F and D mapthe nonlinear functions to the equations when the size off and g is smaller

    than the number of equations.

    The file starts with a version string, then specifies the dimensions of the sys-tem (number of unknowns, number of input and output terminals, number ofstate and output nonlinearities and number of equations), After this header,the matrix data and the nonlinearities are given. The nonlinearities can becomposed of the usual ANSI/IEEE functions like sin, cos, exp, etc. The stateand input vector are accessible by x(i) and u(i) with i the required compo-nent. Initial conditions are given by specifying the vectors x0 for x(0) and v0for x(0).

    6 Benchmarks

    In the following sections, we present two examples of dynamical systems withdifferent complexity and applications. A summary of their properties is pre-sented in Table 2. Files are available at the IMTEK Benchmark website.

    6.1 Benchmark Problem 1: Electro-Thermal Simulation

    The first benchmark problem is an electro-thermal simulation which has be-come quite important in recent time (Nakayama, 2000; dAlessandro and Ri-naldi, 2002). The operation of an electrical circuit inevitably leads to heat

    13

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    14/33

    Property Electro-thermal model Electro-mechanical model

    Geometry modeler commercial/Ansys own implementation

    Discretization commercial/Ansys own implementation

    Linear/Nonlinear linear/weakly nonlinear nonlinear

    Order first order second order

    Table 2Properties of the two benchmark models.

    dissipation because of Joule heating and an important part of the design pro-cess is to take this into account. In an integrated circuit, one has to removethe generated heat to keep the board temperature within acceptable limits. Inmicrosystems, the Joule heating is often employed to keep a designated part(hotplate) at a given elevated temperature. In any case, the right temperatureregime is crucial for the correct system functioning and its reliability. Let usconsider a mathematical statement of electro-thermal simulation problems.

    Let Rn, n = 2, 3 be an open set with piecewise smooth boundary .Further, assume that the boundary can be decomposed in two open sets q

    and h admitting

    q h= , (11)q h= , (12)

    where the bar means the set closure. Let n be the unit outward normal vectorto .

    We seek the solution of the problem in the device domain and for a timeinterval = [t0, t1] R. Heat transfer in a solid material is expressed by apartial differential equation as follows:

    Given Q : R, q : q R, h : h R, T0 : R,, Cp : R+ and , find T : R, such that

    (T) + Q CpTt

    = 0 in (13)

    T = q on q (14)

    T n = h on h (15)T(t = 0) = T0 in (16)

    where is the thermal conductivity (isotropic for most bulk materials), Cp isthe specific heat capacity, is the mass density, Q is the heat generation rateper unit volume (this term is non-zero within the heat source region only)and T is the unknown temperature distribution that is to be determined. Thisequation holds at each point within the solid material.

    14

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    15/33

    The coupling of (13) with an electrical circuit is made through the heat gen-eration rate that, for the case of dissipative Joule heating, is given by

    Q =|j|2

    (17)

    where j : Rn is the electrical current density vector field and : R+ is the conductivity at a given point in the electrical conductor.In the general case, in order to find the electrical current density distributionwithin the heat source region, one has to solve a Poisson equation = J/for the electric potential. As a result, the combined task becomes computation-ally demanding as it is necessary to solve both the Poisson and heat transferequation simultaneously.

    A considerable simplification can be made under the assumption that the con-ductivity is the same within a volume that has a single current input and asingle current output. This means that we lump the distributed conductivemedium into conventional resistors and assume that the heat generation rateis homogenous within it. In some devices, for example hotplate sensors, heat

    generation resistor elements are already lumped by design; their input andoutput terminals can be clearly seen from the structure and the tempera-ture is homogeneous enough to justify neglection of the exact distribution. Inothers, like transistors, the applicability of such an assumption requires spe-cial considerations. In any case, this is a common starting point to derive acompact thermal model.

    The homogeneous heat generation hypothesis decouples electrical and thermalparts because now the heat generation rate can be computed as follows:

    Q = I2R/V (18)

    where I is the total current passing through the lumped resistor, R its total re-sistance and V is its volume. After this step, one can make a semidiscretizationof (13) in space (e.g. by the FEM (Huang and Usmani, 1994)), and obtains asystem of ordinary differential equations in the form of (5) where x is a vectorof unknown temperatures at the nodes introduced during the discretization,and the input vector u consists of only one entry u = I2R. It is easily gener-alized to the case of several heat sources by enlarging u.

    Engineers are frequently not interested in the solution of this equation over the

    entire computational domain, that is, to know the temperatures at all nodes.Instead, they often only require a few thermal outputs y at given locationsthat can be accessed by the output matrix of the system.

    There are several sources of nonlinearity in this system. First, material prop-

    15

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    16/33

    erties in the heat transfer PDE (18) and as a result in the system matricesdepend on temperature, enlarging the domain for the material property func-tions from to T with T R. However, because the temperature rangein conventional devices is relatively small, this can often be treated by tak-ing properties at an average temperature, that is, by performing linearizationabout an operating point. Second, after the homogenous heat generation ap-proximation, the input functions may depend on temperature explicitly be-cause the resistivity depends on temperature. From a practical viewpoint, itis important to preserve this nonlinearity in the reduced model, because thisgives an opportunity to develop an intelligent electrical circuit which sensesthe temperature in the heat generation area.

    Let us consider we took a microthruster array, shown in Fig. 3. It is basedon the co-integration of solid fuel with a silicon micromachined system (Rossiet al.) [FIXME: Update Rossi reference]. The goal of the device it toproduce a bit-impulse. When required, the circuitry sends electrical powerto the resistive heater of a particular unit. This causes the ignition of thesolid fuel located under the resistor and consequent sustained combustion. Inaddition to space applications targeted nano-satellites, the device can be also

    used for gas generation or as a highly-energetic actuator. In Fig. 3, the processof sustained combustion is shown for a single microthruster unit in an array44 with the dimension of the whole device is about 11 cm.

    Fig. 3. Firing a micro thruster in an 44 array. Illustration courtesy of C. Rossi,LAAS-CNRS

    Modelling of the whole process is quite involved. However, an important partof the device functioning, that is, the electro-thermal ignition is describedby (13) to (16) and (18) with an assumption that the ignition starts whenthe critical temperature is reached within the solid fuel. Under assumption

    of homogenous heat generation this problem is converted to a pure thermalproblem when electrical power is used as input. It happens that simulation ofthis very process is already quite important from engineering viewpoint. Oneof the design goals is to position microthruster units in an array. Here thereare two contradictive goals. On one hand, it is desirable to reach the highest

    16

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    17/33

    integration, that is, to put units as close as possible. On another hand, whenunits are too close the firing of a unit can lead to firing of neighboring ones.Engineering aspects are described in more detail elsewhere (Rossi et al.).

    A computational domain for the benchmark contains a single microthruster.The device solid model has been made and meshed in Ansys [39] (Ansys,Inc.). The material properties except resistivity were assumed to be constant.There are four different test cases described in Table 3 with the goal to covercases of different dimensions to be able to check model reduction algorithms

    for scalability. Two cases are made for the 2D-axisymmetric approximation,the other two for real 3D geometry. In each category, we employed linear andquadratic elements. As result, the dimension of the ODE system after thediscretization varies from 4257 to 79171.

    Note that the results from different models cannot be compared directly witheach other as the output nodes are located in slightly different geometri-cal positions and there is some difference in modeling for the 3D and 2D-axisymmetric cases. Temperature is assumed to be in Celsius with the initialstate of 0 C.

    The system matrices are symmetric and positive definite. They have been readdirectly from ANSYS binary files and converted to the Matrix Market formatwith a tool mor4ansys, developed at (Rudnyi et al., 2004).

    The output nodes are described in Table 4. A design task was to reach theignition temperature within the fuel and at the same time not to reach thecritical temperature at neighboring microthrusters. Nodes 2 to 5 show thefuel temperature distribution and nodes 6 to 9 characterize temperature inthe wafer, nodes 5, 7 and 9 being the most far away from the resistor.

    The goal of model reduction is to find the reduced model that accuratelydescribes the temperatures at these nodes for the initial time of 1 s. Theacceptable accuracy is a few degrees Celsius.

    The benchmark files contain a constant load vector, corresponding to the con-stant power input of 150 mW. It can be multiplied by an input function. A stepfunction is already a good approximation to test model reduction algorithms.However, one can easily add weak nonlinearity in the input function in orderto treat an important problem in electro-thermal simulation. The resistivitydepends on temperature and it would be good to preserve this dependencein the final reduced model as actually the circuit uses this to measure the

    temperature. Under the hypothesis of homogeneous heat generation, the in-put function (18) depends on temperature through R. In our case, one has tomultiply the load vector by a function

    1 + 0.0009TResistor + 3 107T2Resistor (19)

    17

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    18/33

    assuming the constant current. The temperature in (19) can be well approxi-mated by the first output in Table 4.

    Code comment N nnz(K) nnz(C)

    T2DAL 2D-axisymmetric, linear elements 4257 37465 4247

    T2DAH 2D-axisymmetric, quadratic elements 11445 176117 176117

    T3DL 3D, linear elements 20360 509866 20360

    T3DH 3D, quadratic elements 79171 4352105 4352105Table 3Microthruster models. N is the number of equations, nnz(K) is the number of non-zero elements of K.

    # code comment

    1 aHeater within the heater

    2 FuelTop fuel just below the heater

    3 FT-100 fuel 0.1 mm below the heater

    4 FT-200 fuel 0.2 mm below the heater5 FuelBot fuel bottom

    6 WafTop1 wafer top (touching fuel)

    7 WafTop2 wafer top (end of computational domain)

    8 SiNTop1 at the SiN layer above WafTop1

    9 SiNTop2 at the SiN layer above WafTop2

    Table 4Output nodes for the microthruster models

    6.2 Benchmark Problem 2: Electrostatically Actuated Beam

    Moving structures are an essential component for many microsystem applica-tions, among them fluidic parts like pumps and electrically controllable valves(Stehr et al., 1996), sensing cantilevers (Hagleitner et al., 2003a,b) and opticalstructures (Texas Instruments DLP).

    Several actuation principles can be employed on microscopic length scales, the

    most frequent certainly the electromagnetic forces (Menz et al., 2001; Gad-el-Hak, 2002; Nathan and Baltes, 1999; Senturia, 2001). While electrostaticactuation falls behind at the macro scale, the effect of charged bodies outper-forms magnetic forces in the micro scale both in terms of performance andfabrication expense.

    18

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    19/33

    From a modeling viewpoint, the underlying physics of electrostatic forces ismore intuitive than for most other electrical actuation principles; however, theresulting force is often nonlinear, and due to the large reach of this kind offorce, a strong spatial coupling of charges is observed.

    6.2.1 System Setup

    We now model a typical structure whose generic layout corresponds to an RF

    switch as well as an RF electromechanical filter. Fig. 1 shows a typical examplefor a real structure.

    Consider a beam supported at both ends (fig. 4). It is made of conductingmaterial (e.g. a metal) with density and Youngs modulus of elasticity E.Hence the electric potential is the same everywhere on the beam. This beamforms the first electrode. Below the beam, a counter electrode is placed. Again,the electric potential is the same everywhere on the electrode, but differentfrom the potential on the beam. This lower electrode is fixed along its length,thus it features no spatial degrees of freedom, while the upper beam is free tomove in vertical direction except for its supported ends.

    ! "# $% &' () 01

    23 45

    6 6 6 6 6 6 6 6 6 6 6 6 6 6 7 7 7 7 7 7 7 7 7 7 7 7 7 7 8 8 8 8 8 8 8 8 8 8 8 8 8 8 9 9 9 9 9 9 9 9 9 9 9 9 9 9

    Vins

    x

    y

    Fig. 4. The considered system, a conducting beam supported at both ends withcounter electrode below.

    A voltage source generates a potential difference between the two electrodes,

    i.e. the potential on the beam Vbeam and the potential on the bottom Vbotsatisfy the equation

    Vbeam Vbot = Vin. (20)

    This potential difference is enforced in the model by distributing electriccharges on the beam such that the sum of their potentials yields the respectivevoltage.

    6.2.2 Approximations

    To be useful as benchmark for model order reduction, some approximationshave to be made to limit the number of nonlinearities in the system matricesto a resonable amount. The approximations can be divided in three parts:

    19

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    20/33

    numerical discretization, constraints on the degrees of freedom (DOFs) andmaterial properties.

    The PDE is approximated by an ODE through finite element discretization.Since the aspect ratio of a beam (i.e. the ratio of the length to the transversedimensions) is rather large, we can further approximate the three-dimensional(3D) body of the beam by a one-dimensional (1D) curve embedded in 3D.

    For symmetry reasons, the beam motion can also be constrained to a plane,

    yielding a two-dimensional (2D) motion. In this case, three possible beamdeflections can be observed (Weaver et al., 1990):

    Torsional displacements: A rotation about the beams longitudinal axis.Axial displacements: Compression or expansion of the beam along its lon-

    gitudinal axis.Flexural displacements: Deflecting the beam out of its plane undeformed

    axis.

    We have developed two models, one linear model featuring all three deflec-tions, and a beam model for flexural displacements the most important for

    microsystem applications with nonlinear electrostatic actuation.

    We assume that the beam deflection is small, so that geometric nonlineari-ties can be neglected. This allows to impose another constraint on the beammotion of the second model: For small deflections, a motion in the x direc-tion would result in an axial compression; we therefore allow only motion inthe y direction. We assume that the possible deflections are smaller than thedistance between the beams so that no contact occurs. Another effect whichis often observed for electrostatic actuators is the snap through instability,i.e., when the actuator moves beyond a certain point, the electrostatic forcebecomes larger than the retracting force; the sum thus points in the direc-tion of the displacement, and the actuator is further accelerated towards thecounter electrode. This is still possible with the approximated model.

    The material used is assumed to be isotropic and ideally elastic with no plasticdeformation or brittle fracture. As common in micromechanics, gravity maybe neglected.

    A further approximation concerns the distribution of electrical charges on thebeam. The charge distribution can be a complicated function depending onthe current geometrical conformation of the beam. Usual boundary element

    approximation schemes describe the variation by a polynomial, often with onlyconstant or linear terms. This approach requires the integration of a Greensfunction over the element, which can be done numerically e.g. by Gau in-tegration. For the purpose of providing a matrix equation for model orderreduction, this would require evaluating the integral for every timestep. Al-

    20

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    21/33

    though it would be possible to include the equations for Gau integration inthe system, the complexity would increase dramatically. We therefore concen-trate the charge at distinct points (Silverberg and Weaver, 1996).

    6.2.3 Lagrangian mechanics

    We use a Lagrangian formulation to determine the equations of motion. TheLagrangian

    L: R2m+1

    Q

    R for the system is

    L(q, q, t) = T V We, (21)

    where T is the kinetic coenergy, V the potential energy stored in the elasticdeformation of the beam, We the potential energy stored in the electrostaticfield, m is the number of the complete and independent generalized coordinatesqi, 1 i m, of the system, Q is the generalized coordinate space and [t0, t1]is the time interval considered. The equations of motion are then recovered byevaluating

    ddt Lqi Lqi = Fi, (22)

    where t is the time, q = dq/dt, and Fi are the generalized nonconservativeforces (i.e. damping and external forces).

    6.2.4 Finite Element Method Discretization of elastic beam

    The deformation is determined by the stress-strain relationship (Hookes law)

    = E, (23)

    where in 3D space = (x, y, z, xy, yz , zx)T : R6 is the vector of

    stresses, = (x, y, z, xy, yz, zx)T : R6 is the vector of strains, and

    E is a constant 6 6 matrix with material data relating stresses to strains.For isotropic materials, E depends only on Youngs modulus E and Poissonsratio . This equation holds in every point of the material. The strain isrelated to the geometric displacement u : R3 by means of the strain-displacement relationship

    = Du. (24)

    The differential operator D is determined by the beam geometry, with onlyspatial derivatives involved.

    21

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    22/33

    6.2.4.1 Finite elements: The beam is split into 1D finite elements oflength L in 3D space (Weaver et al., 1990), therefore the dimension of reduces to 1. Each beam element e comprises two vertices xe and xe+1 = xe+Lat its ends with degrees of freedom qe on each side. Between these vertices,the displacement is interpolated by shape functions,

    fe : [xe, xe+1] R (25)u(x, q, t) = f(x)q(t). (26)

    Two adjacent elements share the nodes on their sides; q and f are assembledfrom the degrees of freedom and shape functions of all elements. The strain-displacement and stress-displacement relationships now read

    (x, t) = Du = Df(x) q(t) = B(x) q(t) (27)

    (x, t) = E = E B(x) q(t) (28)

    The potential energy can then be calculated by

    V =1

    2

    T d =1

    2qT

    BT E B d q =1

    2qTKq, (29)

    and the kinetic coenergy T of the distributed mass by

    T =1

    2

    |u|2 d = 12qT

    fTfd q =1

    2qTMq. (30)

    K and M are called the stiffness and mass matrix. They are assembled fromthe contributions of the element matrices Ke and Me.

    6.2.4.2 Application to flexural displacement: For the flexural dis-placement of the beam, we choose Hermite cubic shape functions with two

    degrees of freedom q at each vertex: Deflection yi perpendicular to the beamand the slope i, which corresponds to a rotation in the deformation plane forsmall deflections. For each element e, this yields the degrees of freedom

    qe = (ye, e, ye+1, e+1)T . (31)

    22

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    23/33

    1f

    @ @

    @ @

    @ @

    A A

    A A

    A A

    B B

    B B

    B B

    C

    C

    C

    D D

    D D

    D D

    D D

    E E

    E E

    E E

    E E

    f2

    F F

    F F

    F F

    G G

    G G

    G G

    H

    H

    H

    H

    H

    1

    I I

    I I

    I I

    I I

    P

    P

    P

    P Q Q

    Q Q

    Q Q

    R R

    R R

    R Rf3

    S

    S

    S

    T

    T

    T

    f4 U U UU U U

    U U U

    V V

    V V

    V V

    1

    3

    42

    1

    x

    L

    q

    qq

    q

    W

    W

    W

    X

    X

    Y

    Y

    `

    `

    1

    a

    a

    a

    b

    b

    c

    c

    d

    d

    e e ef f f

    g g g

    h h h1

    Fig. 5. Hermite shape functions for one-dimensional finite elements (adapted fromWeaver et al. (1990)).

    The hermite shape functions for a single one-dimensional linear element e withlength L are (see fig. 5)

    fe(x) =

    1

    L3 (2x3 3Lx2 + L3)

    1

    L2 (x3 2Lx2 + L2x)

    1

    L3 (2x3 + 3Lx2)1

    L2 (x3 Lx2)

    T

    , x = x xe. (32)

    The differential operator D for flexural displacement is (Weaver et al., 1990)

    D = y d2

    dx2, (33)

    yielding

    Be= Dfe

    =

    y

    L3 12x 6L 6Lx 4L2 12x + 6L 6Lx 2L2 . (34)Since the beam is not stressed in the y and z directions and no shearing occurs,the vector of stresses can be reduced to its first component x, and thereforethe vector of strains can be simplified to x as well with Ex = x.

    23

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    24/33

    Including this in (29), we get as contribution for this element:

    Ke =e

    BTEB d =2EI

    L3

    6 3L 6 3L3L 2L2 3L L26 3L 6 3L3L L2 3L 2L2

    (35)

    where I =A y

    2dA is the moment of inertia over the cross section of thebeam. For the kinetic energy of an extended body, two contributions must beconsidered: Rotational and translational inertia.

    6.2.4.3 Translational inertia: From (30), we get

    Me,t =e

    fTfd =

    xe+1xe

    AfTfdx, (36)

    which evaluates to

    Me,t =AL

    420

    156 22L 54 13L22L 4L2 13L 3L254 13L 156 22L

    13L 3L2 22L 4L2

    (37)

    6.2.4.4 Rotational inertia: Due to the 1D approximation of the beam,the kinetic energy of rotation of beam cross sections is not included in (37).Therefore, an additional contribution to the kinetic energy must be computed.Although the nodes are assumed to only move in the y direction, a rotationabout the z axis caused a x translation of the portions in the cross section ofthe beam further away from the neutral axis. Assuming that the center of thisrotation is at y = 0, the x translation of a point in the cross section is

    ux = yz = y ddx

    u = y ddxfq. (38)

    The speed of that point is

    ux = y ddxfq. (39)

    24

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    25/33

    Inserting this into (30), we get

    Me,r =e

    y2

    df

    dx

    Tdf

    dx

    d =

    xe+1xe

    I

    df

    dx

    Tdf

    dx

    dx. (40)

    This finally yields

    Me,r =I

    30L

    36 3L 36 3L3L 4L2 3L L236 3L 36 3L3L L2 3L 4L2

    (41)

    The generalized inertial mass of this element is now found by

    Me = Me,t + Me,r. (42)

    6.2.5 Axial and torsional displacements

    The same kind of discretization can be used to model the axial elongation ofthe beam and the rotation about the beam axis. The degrees of freedom arethen the nodal displacement in x direction and the rotation about the x axis.

    Since for these degrees of freedom a linear behaviour can be expected andno dimensional reduction is performed, linear Lagrangian elements suffice to

    model the behaviour. The differential operator for the axial displacement is

    D = d/dx, (43)

    the shape functions are

    fe(x) =

    1 xL

    x

    L

    , x = x xe. (44)

    Evaluating (29) and (30) yields

    K =EA

    L

    1 11 1

    , M = AL6

    2 11 2

    . (45)

    25

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    26/33

    For the torsional displacement, we get with the same shape functions as aboveand the differential operator D = r d

    dxthe matrices

    K =GJ

    L

    1 11 1

    , M = JL6

    2 11 2

    , (46)

    where G is the shearing modulus of the material and J is the polar moment

    of inertia.

    The potential and kinetic energy can then be added to the Lagrangian asabove. Since in our simple model all three types of displacements are decou-pled, the global matrices for the latter two can be simply appended to thematrices for the flexural displacement.

    6.2.6 Electrostatic Actuation

    As mentioned above, the electric charge distribution over an element is ap-

    proximated by a point charge at the element interface. The electric potentialV : Rn R for a point charge Qi R can be calculated by integratingCoulombs law, taking a test charge from infinity to a position rij near thecharge under consideration. In 3D, this is (Jackson, 1999)

    Vij = rij

    Qi4r0r2

    dr =Qi

    4r0rij, (47)

    where 0 is the permittivity of free space, r 1 is the relative dielectricpermittivity of air and rij is the distance between the charge and the evaluationpoint.

    Another contribution to the energy comes from the self capacity of the pointcharge. The charge is in reality distributed over the beam elements area. Wecan calculate the voltage for a rectangular area Ai = wh, where w and h arethe dimensions of the rectangle, by

    Vii=Qi

    4r0Ai

    Ai

    1

    r

    ri

    dAi

    =Qi

    2r0wh

    h ln

    w + w2 + h2h

    + w lnh + w2 + h2

    w

    (48)

    Dividing by Qi yields the reciprocal of the self capacity Pii.

    26

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    27/33

    Combining these equations yields the following matrix expression for all nodalvoltages:

    V= PQ

    with Pij =

    1

    4r0riji = j

    1

    2r0wh

    h ln w+

    w2+h2

    h + w lnh+

    w2+h2

    w

    i = j

    . (49)

    The energy is then

    We =1

    2QTV=

    1

    2QTPQ, (50)

    and the complete Lagrangian is specified by

    L = 12qTMq 1

    2qTKq 1

    2QTPQ. (51)

    The accuracy of the lumping increases by making the elements smaller for a

    given beam geometry.

    6.2.7 Nonconservative Work

    Energy is introduced into the system by the voltage source, and dissipated bythe damping of the structure. The variation of nonconservative work thereforereads

    Wnc = qT (Dq)

    Fq

    +QTVin

    FQ

    . (52)

    Fq and FQ are the generalized forces for the mechanical and electrical degreesof freedom. The vector Vin has an entry Vin for all charge nodes on the upperbeam, and an entry 0 for all charges on the lower beam. The damping matrix Dis usually calculated by a linear combination of the stiffness and mass matrix

    D = ckK + cmM (53)

    using the mode-preserving Rayleigh damping formulation.

    6.2.8 Equations of Motion

    With (22), we can calculate the equations of motion. As shown before, allmatrices are symmetric. We then get the equations

    27

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    28/33

    j

    Mij qj + Dij qj + Kijqj +

    1

    2

    k

    QjPjkqi

    Qk

    = 0 with

    Pjki

    = 0(54)

    j

    PijQj = Vin,i (55)

    subject to

    q(t = 0) = q0 and q(t = 0) = q0. (56)

    The fourth term in (54) is highly nonlinear and strongly couples all degreesof freedom.

    6.2.9 Input and Ouput Terminals

    As discussed in the previous example, there are two options for model orderreduction: First, to seek a projection which accurately reproduces the behaviorof the device through the complete domain. However, engineers are often onlyinterested in accurate output for a small subset of all computational nodes

    at the so called terminals of the device. Usually, there is also a very limitednumber of independent inputs for the system. To meet these requirementsand give the model order algorithm further possibilities to optimize the result,these so called terminals are provided by multiplication with matrices H toproject the smaller number of inputs (in this case Vin) to the size of the systemand C to project the system state to a smaller number of outputs y. Further,it is beneficial for research to separate the system into linear and nonlinearparts.

    We further combine equations (54) and (55) by introducing a new symbol for

    the vector of statesx

    = (qT QT

    )

    T

    . All nonlinearities are moved to a vectorg(x, Vin) on the right side. This yields the following system:

    Mx + Dx + Kx= HVin + g(x, Vin)y= Cx. (57)

    Two kinds of models are available on the IMTEK MOR website: A linearmodel with all three kinds of beam displacement but without electrostaticactuation, and a model with linear flexural beam, but nonlinear electrostatic

    actuation. Table 5 lists different precomputed matrix files.

    All files have a single input- and a single output terminal; the output terminalrepresents the vertical displacement of the middle node on the top beam; theinput terminal is a force on this node for the L models and the voltage

    28

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    29/33

    Code Comment N

    Linear

    LFAT5 flexural, axial, torsional 12

    LF10 flexural only 18

    LFAT5000 flexural, axial, torsional 19996

    LF10000 flexural only 19998

    Nonlinear, flexural displacement, electrostatic actuation:

    E10 40

    E100 400

    E1000 4000

    Table 5Available matrix files for benchmark systems. N: number of equations

    for the E models. All nodes with Dirichlet boundary conditions are alreadyremoved from the system.

    By neglecting the damping matrix, a undamped system results.

    7 Conclusion

    We have described and presented benchmark cases for two important MSTapplications for which there is a need among engineers for reliable compactmodels. The benchmarks are available online (IMTEK MOR website, 2004)in a suitable file format. We hope that our paper will initiate mathematical

    interest to the problems considered and thus promote their solution. Moremodels are under development and will be presented on the aforementionedweb page.

    8 Acknowledgments

    The authors wish to thank Tamara Bechtold for making Ansys scripts formicrothruster simulations, and our project partners Boris Lohmann, Behnam

    Salimbahrami and Amirhossein Yousefi from the Department System Dynam-ics and Control, Institute for Automation, University of Bremen, for criticaldiscussion of the benchmarks and their contribution of the dynamical sys-tem interchange format. This work is partially funded by the EU through theproject MICROPYROS (IST-1999-29047), partially by the DFG project MST-

    29

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    30/33

    Compact (KO-1883/6) and partially by an operating grant of the Universityof Freiburg.

    9 Glossary

    CAE Computer Aided Engineering

    FEM Finite Element Method

    MEMS MicroElectroMechanical System

    MST MicroSystem Technology

    ODE Ordinary Differential Equation

    PDE Partial Differential Equation

    RF Radio Frequency

    SPICE Simulation Program for Integrated Circuits Emphasis

    VLSI Very Large Scale Integration

    References

    Ansys, Inc.URL http://www.ansys.com

    Antoulas, A., Sorensen, D., February 7, 2001. Approximation of large-scaledynamical systems: An overview. Technical report, Rice University.

    URL http://www-ece.rice.edu/ aca/mtns00.pdfAti, A. A., Napieralska, M., Napieralski, A., Ciota, Z., 2000. A new compact

    physical submicron mosfet model for circuit simulation. Microelectronic En-gineering 51-2, 373392.

    Bada, J. M., Benner, P., Mayo, R., Quintana-Ort, E. S., 2002. Euro-Par2002 Parallel Processing. Lecture Notes in Computer Science. Springer, Ch.Solving Large Sparse Lyapunov Equations on Parallel Computers, pp. 687690.

    Bai, Z. J., 2002. Krylov subspace techniques for reduced-order modeling oflarge-scale dynamical systems. Applied Numerical Mathematics 43, 944.

    Bai, Z. J., Slone, R. D., Smith, W. T., 1999. Error bound for reduced systemmodel by pade approximation via the lanczos process. IEEE TransactionsOn Computer-Aided Design of Integrated Circuits and Systems 18 (2), 133141.

    Barbone, P. E., Givoli, D., Patlashenko, I., 2003. Optimal modal reduction

    30

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    31/33

    of vibrating substructures. International Journal for Numerical Methods inEngineering 57, 341369.

    Bartholomeyczik, J., Ruther, P., Buhmann, A., Steffen, K., Paul, O., Sep.2003. Novel low temperature soi-based frabrication process for high fre-quency microelectromechanical resonators. In: Proc. Eurosensors XVII.Guimaraes, Portugal, pp. 779782.

    Bechtold, T., Rudnyi, E. B., Korvink, J. G., 2003. Automatic generation ofcompact electro-thermal models for semiconductor devices. Ieice Transac-tions on Electronics E86C, 459465.

    Brooks, B., 1999. Standardizing compact models for ic simulation. IEEE Cir-cuits & Devices , 1013.URL http://www.eigroup.org/CMC

    Carter, G. K., Kron, G., 1945. A.C. network analyzer study of the Schr odingerequation. Physical Review 67 (1), 4449.

    Cheng, C.-K., Lillis, J., Lin, S., Chang, N., 2000a. Interconnect Analysis andSynthesis. John Wiley & Sons.

    Cheng, C.-K., Lillis, J., Lin, S., Chang, N., 2000b. Interconnect Analysis andSynthesis. John Wiley & Sons, Inc.URL http://www.wiley.com/Corporate/Website/Objects/Products/0,9049,547522,00

    Codecasa, L., DAmore, D., Maffezzoni, P., 2003. Compact modeling of electri-cal devices for electrothermal analysis. IEEE Transactions on Circuits andSystems I Fundamental Theory and Applications 50, 465476.

    Codecasa, L., et al., October 14, 2002. Multi-point moment matching reduc-tion of distributed thermal networks. In: 8th Therminic Workshop. Madrid,pp. 231234.

    Cui, Z., February 2426, 2003. Standardization of microsystem design andmodelling, standardization for microsystems: The way forward. In: Pro-ceedings for the Internation Seminar MEMSTAND. NPL, UK, Barcelona,pp. 5561.

    dAlessandro, V., Rinaldi, N., 2002. A critical review of thermal models for

    electro-thermal simulation. Solid-State Electron. 46 (4), 487496.Daniel, L., Siong, O. C., Chay, L. S., Lee, K. H., White, J., May 2004. A mul-

    tiparameter moment-matching model-reduction approach for generating ge-ometrically parametrized interconnect performance models. IEEE Transac-tions on Computer-Aided Design of Integrated Circuits and Systems 23 (5),678693.

    Enz, C. C., Cheng, Y. H., 2000. MOS transistor modeling for RF IC design.IEEE Journal of Solid-State Circuits 35, 186201.

    Gad-el-Hak, M. (Ed.), 2002. The MEMS handbook. CRC Press, Boca Raton.Grasser, T., Selberherr, S., 2000. Mixed-mode device simulation. Microelec-

    tronics Journal 31, 873881.Gunupudi, P. K., Khazaka, R., Nakhla, M. S., Smy, T., Celo, D., Decem-ber 2003. Passive parameterized time-domain macromodels for high-speedtransmission-line networks. IEEE Transactions on Microwave Theory andTechniques 51 (12), 23472354.

    31

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    32/33

    Hagleitner, C., Hierlemann, A., O. Brand, Baltes, H., 2003a. CMOS singlechip gas detection systems part I. Sensors Update 11, 101155.

    Hagleitner, C., Hierlemann, A., H. Baltes, 2003b. CMOS single chip gas de-tection systems part II. Sensors Update 12, 51120.

    Huang, H. H., Usmani, A. S., 1994. Finite Element Analysis for Heat Transfer.Springer, London.

    IMTEK Benchmark website.URL http://www.imtek.uni-freiburg.de/simulation/benchmark

    IMTEK MOR website.URL http://www.imtek.uni-freiburg.de/simulation/mstkmpkt

    Jackson, J. D., 1999. Classical electrodynamics, 3rd Edition. Wiley, New York.Kielkowski, R. M., 1995. SPICE: practical device modeling. McGraw-Hill, New

    York.Kron, G., 1945. Electric circuit models of the Schrodinger equation. Physical

    Review 67 (1), 3943.Kron, G., 1967. Equivalent circuits of electric machinery. Dover Publications,

    New York.Li, J. R., White, J., 2002. Low rank solution of Lyapunov equations. Siam

    Journal On Matrix Analysis and Applications 24 (1), 260280.

    Menz, W., Mohr, J., Paul, O., 2001. Microsystem Technology. Wiley-VCH,Weinheim.

    Mukherjee, T., Fedder, G. K., Ramaswany, D., White, J., 2000. Emergingsimulation approaches for micromachined devices. IEEE Trans. Comput-Aided Des. Integr. Circuits Syst. 19, 15721589.

    Nakayama, W., 2000. Thermal issues in microsystems packaging. IEEE Trans.Adv. Pack. 23, 602607.

    Nathan, A., Baltes, H., 1999. Microtransducer CAD. Springer, New York.Penzl, T., 2000. A cyclic low-rank smith method for large sparse Lyapunov

    equations. SIAM J. Sci. Comput. 21, 14011418.Petit, D., Hachette, R., 1998. Model reduction in linear heat conduction: use

    of interface fluxes for the numerical coupling. Int. J. Heat Mass Transf.41 (21), 31773189.

    Phillips, J. R., 2003. Projection-based approaches for model reduction ofweakly nonlinear, time-varying systems. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 22, 171187.

    Rewienski, M., White, J., 2003. A trajectory piecewise-linear approach tomodel order reduction and fast simulation of nonlinear circuits and mi-cromachined devices. IEEE Transactions on Computer-Aided Design of In-tegrated Circuits and Systems 22, 155170.

    Rossi, C., Larangot, B., Camps, T., Dumonteil, M., Lagrange, D., Pham, P. Q.,

    Briand, D., de Rooij, N. F., Puig-Vidal, M., Miribel, P., Montane, E., Lopez,E., Samitier, J., Rudnyi, E. B., Bechtold, T., Korvink, J. G., To be pub-lished. Review of solid propellant microthrusters on silicon. Journal Propul-sion and Power .

    Rudnyi, E. B., Korvink, J. G., 2002. Automatic model reduction for transient

    32

  • 8/2/2019 MST MEMS Compact Modeling Meets Model Order Reduction Requirements and Benchmarks

    33/33

    simulation of MEMS-based devices. Sensors Update 11, 333.Rudnyi, E. B., Lienemann, J., Greiner, A., Korvink, J. G., March 711 2004.

    mor4ansys: Generating compact models directly from ANSYS models. In:Technical Proceedings of the 2004 Nanotechnology Conference and TradeShow Nanotech 2004. Vol. 2. Bosten, Massachusetts, USA, pp. 279282.

    Senturia, S. D., 2001. Microsystem design. Kluwer, Boston.Sidi-Ali-Cherif, S., Grigoriadis, K. M., 2003. Efficient model reduction of large

    scale systems using Krylov-subspace iterative methods. International Jour-nal of Engineering Science 41, 507520.

    Silverberg, L., Weaver, Jr, L., 1996. Dynamics and control of electrostaticstructures. Journal of Applied Mechanics 63, 383391.

    Stehr, M., Messner, S., Sandmaier, H., Zengerle, R., 1996. The VAMP a newdevice for handling liquids or gases. Sensors and Actuators A57, 153157.

    Sze, S. M., 1985. Semiconductor Devices Physics and Technology. John Wiley& Sons, New York.

    Texas Instruments. Digital light processing (DLP).URL http://www.dlp.com

    Watanabe, T., Asai, H., 2003. A framework for macromodeling and mixed-mode simulation of circuits/interconnects and electromagnetic radiations.

    Ieice Transactions on Fundamentals of Electronics Communications andComputer Sciences 41, 507520.

    Weaver, Jr, W., Timoshenko, S. P., Young, D. H., 1990. Vibration problemsin engineering, 5th Edition. Wiley Interscience.

    Wolfram Research, Inc. webMathematica.URL http://www.wolfram.com

    33