24
General rights Copyright and moral rights for the publications made accessible in the public portal are retained by the authors and/or other copyright owners and it is a condition of accessing publications that users recognise and abide by the legal requirements associated with these rights. Users may download and print one copy of any publication from the public portal for the purpose of private study or research. You may not further distribute the material or use it for any profit-making activity or commercial gain You may freely distribute the URL identifying the publication in the public portal If you believe that this document breaches copyright please contact us providing details, and we will remove access to the work immediately and investigate your claim. Downloaded from orbit.dtu.dk on: Mar 29, 2021 Nanomanufacturing-Perspective and applications Fang, F. Z.; Zhang, X. D.; Gao, W.W.; Guo, Y. B.; Byrne, G.; Hansen, Hans Nørgaard Published in: C I R P Annals Link to article, DOI: 10.1016/j.cirp.2017.05.004 Publication date: 2017 Document Version Publisher's PDF, also known as Version of record Link back to DTU Orbit Citation (APA): Fang, F. Z., Zhang, X. D., Gao, W. W., Guo, Y. B., Byrne, G., & Hansen, H. N. (2017). Nanomanufacturing- Perspective and applications. C I R P Annals, 66(2), 683-705. https://doi.org/10.1016/j.cirp.2017.05.004

Nanomanufacturing—Perspective and applications€¦ · down and bottom-up approaches, including additive, subtractive, and replication/mass conservation processes. These include

  • Upload
    others

  • View
    3

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Nanomanufacturing—Perspective and applications€¦ · down and bottom-up approaches, including additive, subtractive, and replication/mass conservation processes. These include

General rights Copyright and moral rights for the publications made accessible in the public portal are retained by the authors and/or other copyright owners and it is a condition of accessing publications that users recognise and abide by the legal requirements associated with these rights.

Users may download and print one copy of any publication from the public portal for the purpose of private study or research.

You may not further distribute the material or use it for any profit-making activity or commercial gain

You may freely distribute the URL identifying the publication in the public portal If you believe that this document breaches copyright please contact us providing details, and we will remove access to the work immediately and investigate your claim.

Downloaded from orbit.dtu.dk on: Mar 29, 2021

Nanomanufacturing-Perspective and applications

Fang, F. Z.; Zhang, X. D.; Gao, W.W.; Guo, Y. B.; Byrne, G.; Hansen, Hans Nørgaard

Published in:C I R P Annals

Link to article, DOI:10.1016/j.cirp.2017.05.004

Publication date:2017

Document VersionPublisher's PDF, also known as Version of record

Link back to DTU Orbit

Citation (APA):Fang, F. Z., Zhang, X. D., Gao, W. W., Guo, Y. B., Byrne, G., & Hansen, H. N. (2017). Nanomanufacturing-Perspective and applications. C I R P Annals, 66(2), 683-705. https://doi.org/10.1016/j.cirp.2017.05.004

Page 2: Nanomanufacturing—Perspective and applications€¦ · down and bottom-up approaches, including additive, subtractive, and replication/mass conservation processes. These include

tricin-thentstwo

the.The

and totionely

ig. 1dexndsichrrorub-the

CIRP Annals - Manufacturing Technology 66 (2017) 683–705

caletop-tion

andinly

ands of

IRP.

Nanomanufacturing—Perspective and applications

F.Z. Fang (1)a,d,*, X.D. Zhang a, W. Gao (1)b, Y.B. Guo (2)c, G. Byrne (1)d, H.N. Hansen (1)e

a State Key Laboratory of Precision Measuring Technology & Instruments, Centre of MicroNano Manufacturing Technology—MNMT, Tianjin University, 300072, ChinabDepartment of Nanomechanics, Tohoku University, JapancDepartment of Mechanical Engineering, The University of Alabama, Tuscaloosa, AL 35487, USAd School of Mechanical and Materials Engineering, MNMT-Dublin, University College Dublin, Dublin, IrelandeDepartment of Mechanical Engineering, Technical University of Denmark, Lyngby, Denmark

1. Introduction

Nanotechnology covers two aspects: (1) structures andmaterials at the nanoscale; (2) investigation and application ofunique phenomena at the nanoscale to produce features for new orenhanced functionality [37]. Nanoscale typically refers to lengthsbetween 1 nm and 100 nm [128]. Three events have significantlyinfluenced the concept, observation techniques, and practicaloperations of nanotechnology. In 1959, Richard Feynman made aspeech entitled “At the bottom there is a great space,” whichstarted the historical course of nanotechnology [63]. In 1981,scientists invented an important tool for nanotechnology—thescanning tunneling microscope—that enabled imaging at theatomic–molecular level for the first time [197]. In 1990, the IBMAlmaden Research Center successfully conducted the first singleatomic operation [1].

Nanomanufacturing involves scaled-up, reliable, and cost-effective manufacturing of nanoscale materials, structures,devices, and systems [156]. It is an essential bridge betweennanoscience discoveries and real-world nanotechnology products.Thus, nanomanufacturing is the basis of nanotechnology andincludes value-adding processes to control material structures,components, devices, and systems at the nanoscale (1 nm–

100 nm) in one, two, and three dimensions for reproducible,

Nanomanufacturing includes manufacturing to nanomeaccuracy and nanometric scale in general. It includes nanomaching/nanofabrication and nanometrology which stands for

manufacturing process and evaluation, respectively. Componefabricated by nanomanufacturing can be classified into

categories as follows:

� Parts with nano- and microstructures with feature sizes atnanometric scale. The parts are microscopic or macroscopic

� Parts with geometrical accuracy at the nanometric level.

devices are on the macroscopic scale.

Fig. 1(a) illustrates nano- and microstructures with broadblight-trapping capability employed in a photovoltaic deviceincrease the efficiency of solar cells through various antireflec(AR) schemes [203]. With the nanocones, efficiency progressivincreases from 8.5% to 13.2% with increasing incident angle. F(b) shows nanostructures fabricated on flexible negative inmetamaterials for applications in the visible and telecom ba[65]. Another example is the James Webb Space Telescope, whcontains a 6.5 m diameter hexagonal mirror comprising 18 misegments and requires roughness on the nanometric or snanometric scale and a form accuracy of 20 nm to achieve

broad-spectrum detection ability in deep space [170].

A R T I C L E I N F O

Article history:Available online 09 June 2017

Keywords:NanomanufacturingManufacturingMetrology

A B S T R A C T

Nanomanufacturing involves scaled-up, reliable, and cost-effective manufacturing of nanosmaterials, structures, devices, and systems. Nanomanufacturing methods can be classified into

down and bottom-up approaches, including additive, subtractive, and replication/mass conservaprocesses. These include a cluster of various techniques such as nanomachining, nanofabrication,nanometrology to produce nanotechnology components and conduct evaluation. This paper mafocuses on the manufacturing methods for complex shapes or structures, such as textures on curveshierarchical structures, and outlines the research perspectives and the current application statunanomanufacturing fundamentals and key technologies.

© 2017 Published by Elsevier Ltd on behalf of C

Contents lists available at ScienceDirect

CIRP Annals - Manufacturing Technology

journal homepage: http: / /ees.elsevier.com/cirp/default .asp

ate

commercial-scale production. ingics,

ofacy,inlys or

* Corresponding author at: State Key Laboratory of Precision MeasuringTechnology & Instruments, Centre of MicroNano Manufacturing Technology—MNMT, Tianjin University, 300072, China. Fax: +86 22 27403753.

E-mail addresses: [email protected], [email protected] (F.Z. Fang).

http://dx.doi.org/10.1016/j.cirp.2017.05.0040007-8506/© 2017 Published by Elsevier Ltd on behalf of CIRP.

A literature survey on nanomanufacturing from 2005 to dshows that nanomanufacturing research is dramatically increasand most efforts concern engineering, material science, physand optics. The survey results indicate the challengesnanomanufacturing focusing on the nanoscale, nanoaccurcomplex shape/structures, and novel materials. This paper mafocuses on the nanomanufacturing methods for complex shape

Page 3: Nanomanufacturing—Perspective and applications€¦ · down and bottom-up approaches, including additive, subtractive, and replication/mass conservation processes. These include

struas stechmol[5,61

Ttechshowtechopm

F.Z. Fang et al. / CIRP Annals - Manufacturing Technology 66 (2017) 683–705684

ctures, such as textures on curves and hierarchical structures,hown in Fig. 2. The related CIRP keynote papers on othernologies, such as laser machining, finishing technology, andd manufacturing, have been reported in the reference list,89,91,201].here are two important aspects of fundamental research andnology development for nanomanufacturing applications, asn in Fig. 3. Fundamental research provides the basicniques for the mechanism study, while technological devel-ent involves fabricating components to guarantee successful

applications. Based on material properties, nanomanufacturingcan be performed by additive (including deposition and dip–pennanolithography), subtractive (including nanomechanical machin-ing and FIB milling), and mass conservation (including nanoim-printing) processes. This paper presents nanomanufacturingresearch perspectives and the current application status ofnanomanufacturing fundamentals and technologies.

2. Nanomanufacturing fundamentals

This section outlines fundamental topics in nanomanufactur-ing, including manufacturing models, numerical simulationmethods, and experimental techniques. In fact, most researchintegrates these three aspects. In general, a novel method shouldbe proposed at first for a particular demand. After building models,numerical simulations are performed to gain preliminary knowl-edge of feasibility. Based on the employed algorithm, details of themanufacturing processes can be investigated at different space andtime scales. However, simplifications and numerical errors makethe simulation inaccurate. Consequently, experimental tests areessential to verify the simulation results. The results of fundamen-tal studies are the foundation of engineering and are significant intechnology development.

2.1. Mechanisms of nanomanufacturing

Thin film or coating processes such as physical vapor deposition(PVD) and chemical vapor deposition (CVD) are the main additiveprocesses employed at the nanometric scale. In PVD, thedeposition atoms escape from the target with sufficient energyabove the critical barrier. This can be achieved through thermalevaporation or physical sputtering by plasma [124]. These atomscondense on the substrate and atomic bonds are formed. In somecases, an ion beam is bombarded on the film to modify and controlthe deposition. In typical PVD, the film thickness is 1–1000 nm andthe deposition speed can be controlled at 1–10 nm/s [150]. In CVD,chemical reactions occur in the vapor, which is the essentialdifference from PVD. Therefore, favorable thermodynamics shouldbe ensured for the desired reactions. For instance, most CVDprocesses are performed at high temperatures, which may restrictthe choice of the substrate. In practice, CVD is more suitable thanPVD for coatings with more complex shapes, such as deep holes[173].

Nevertheless, both PVD and CVD are additive processes at theatomic level and general factors influence the deposition. Thesefactors can be grouped from angstrom to macroscopic scales. At thebottom level, chemical bonds are formed and broken as the atomscondense or move on the surface of the substrate. Thus, thebonding energy and atomic arrangement determine the intrinsicstrength of the coating. From nano to micro levels, the surfacemicroscopic topography (e.g., roughness and microflaws) influ-ences the process. In addition, as the film thickness increases, theoccurrence of material defects such as dislocation may also affectthe function of the coating. Finally, at the macro level, the stressstate, temperature, and pressure dominate the thermodynamicconditions during the deposition. These fundamental factors atdifferent scales are in turn influenced by the process parameters.For example, in Ni–Al mixture deposition on Ni, the surfaceroughness increases with incident energy and the amorphous film

Fig. 1. Nanomanufacturing examples [65,203].

Fig. 2. Scope of nanomanufacturing.

Fig. 3. Systematic structure of nanomanufacturing.

becomes ordered and compact after annealing [218].In contrast, in subtractive processes, the breaking of atomic

bonds is a crucial event during the process. It can be assumed thatthe number of bond broken per unit time characterizes the localsize scale and achievable accuracy of one manufacturing method.For instance, in conventional machining such as turning andmilling at the macroscale, a chip is formed and accompanied withnumerous bond breaks across the shear plane. Nanomachiningmust be used for material removal at the nanometric level. Focusedion beam (FIB) is a nanofabrication method for complex three-dimensional structures. In FIB, collisions cascade as an energetic

Page 4: Nanomanufacturing—Perspective and applications€¦ · down and bottom-up approaches, including additive, subtractive, and replication/mass conservation processes. These include

atesls ined.is ao oftheans, asund].g ofuldodeessthesilere-

bergyelytionge,hisitialsed

thelineterslowtingfewesssiven. Aro-urn29].toolereandismlinefacesp2bic

int isepth

F.Z. Fang et al. / CIRP Annals - Manufacturing Technology 66 (2017) 683–705 685

particle interacts with the lattice matrix. Inelastic interactionoccurs between the electrons of the incident and target atomsunder high-energy ion implantation. As the incident atoms slowdown, elastic collision between the nuclei displaces the targetatoms from equilibrium, which may trigger another collision.Some recoiling atoms escaping from the target surface areconsidered atomic level removal. The sputtering yield, whichdepends on the ion mass, incidence angle, and energy, is the criticalparameter reflecting the material removal rate (MRR). For a highMRR, typical FIB systems utilize heavy ions (Ga) and keV energy[206].

Another major class of subtractive processes comprisesnumerous mechanical approaches such as cutting, milling,grinding, and polishing at the nanoscale. Many of these processeshave been adapted from their conventional forms. However, owingto the size effect, significant distinctions arise between macro- andnanomachining. Among these methods, nanocutting is a funda-mental process and is applied in both nanomanufacturing andmaterial testing.

Nanocutting refers to the mechanical process in which theundeformed chip thickness is at the nanometric level. A simplenanocutting approach is to develop sharp tools with a nanometrictool edge and accurately control the cutting depth, which could berealized by FIB milling and ultraprecision motion control,respectively [55]. However, nanocutting also occurs in single-point diamond turning (SPDT), where a commercial tool with acutting edge of 30–100 nm and a nose radius at the millimeterscale is used. In this case, although the nominal depth of the cutmay be several microns, an undeformed chip thickness at thenanoscale could be achieved by accurate control of the tool feed. Infact, the SPDT process has a multi-scale nature when a tool with acurved nose is used. From the bottom of the tool, the undeformedchip thickness increases monotonically along the tool nose,indicating the conversion of machining from the nano to macrolevel. For brittle materials, cracks would occur in the “macro-region” and propagate in the material. As long as the crack depth islesser than the nominal cutting depth, a smooth surface withnanometric roughness is obtained. Blackley and Scattergoodproposed a turning model based on this concept [18]. Furthermore,subsurface damages may exist under the glossy surface. Thesesubsurface damages are dominated by the material behavior in the“nanoregion,” which is just below the machined surface. To obtainfavorable surface integrity, material deformation as well as toolwear at the nanoscale should be studied and some relevantfindings are presented here.

In nanocutting, the tool edge radius should be considered owingto the small undeformed chip thickness [57]. This makes theboundary conditions completely different from conventionalcutting, which uses a sharp tool. The round edge makes theeffective rake angle highly negative, which results in a compressivestress state in the workpiece. Compression affects the cuttingprocess in two ways. First, it could change the original latticestructure in the cutting region. For example, much research hasbeen conducted on the phase transition path of monocrystallinesilicon under different loading conditions [29,108,235,236]. As thehydrostatic pressure reaches 10–13 GPa, the diamond lattice (Si-Iphase) would transform to the metallic beta-phase (Si-II phase).With the occurrence of shear stress, the hydrostatic pressure maydecrease to 8 GPa. It has been claimed that metallic phase

not the driving force of chip formation as in the shear model stin conventional cutting [165,185]. Furthermore, not all materiathe range of the undeformed chip thickness would be removThere is a critical value called “stagnation point,” which

function of the thickness and the tool edge [57]. When the ratithe undeformed chip thickness at the edge radius is below

stagnation point, there would be no chip formation. This methat only the material above that point would be removedshown in Fig. 4. In addition, a linear relationship was fobetween the undeformed chip thickness and edge radius [127

One of the most promising topics in machining is nanocuttinbrittle materials. It has been believed that the cutting wochange from ductile mode (plastic deformation) to brittle m(gross crack propagation) when the undeformed chip thicknexceeds a critical value. From the mechanical perspective,

cutting mode depends on whether the resolved shearing or tenstress exceeds its critical value in certain directions [186]. Moover, the ductile–brittle transition (DBT) phenomenon cantreated as the intersection point of the specific cutting enecurves representing the ductile and brittle behavior, respectiv[9]. When considering DBT at the atomic level, a peak deformazone under tensile stress state would be formed near the tool edwhich could be treated as the initialization of microcracks [19]. Timplies that DBT should occur even in a workpiece without indefects. Inamura et al. thought that microcrack formation is cauby shearing phase transition and the dynamic acoustic wave onboundary between the high-pressure phase and monocrystalbulk beneath the flank face [101]. In practice, many paramemay influence DBT. An appropriate negative rake angle and a

cutting speed (9 m/min) can be chosen for ductile cut[50,229]. In the cutting process, a negative rake angle has

effects on the “nanoregion,” where the undeformed chip thicknis less than the tool edge radius, but it improves the compresstress in the “macroregion,” which could hinder crack evolutiostraight-nose tool was considered helpful in maintaining hydstatic pressure compared with a round-nosed tool, which in tcontributes to a greater critical undeformed chip thickness [2

In addition to the material properties of the workpiece,

wear is another crucial factor influencing the cutting result. Sevwear not only shortens tool life but also causes shape errors

damages the machined surface. A tribochemical wear mechanhas been examined in the diamond cutting of monocrystalsilicon [76]. The friction on the flank face and machined surcauses intensely high temperatures in tandem with the sp3-disorder of diamond. In addition, a diamond tool with a cu

Fig. 4. Stagnation point denoted by “S” in nano cutting [57]. The stagnation powhere the split-flow of material occurs (left). For an extreme small cutting d(right), there is no chip formation.

theiza-d isatic

theittletheing.tersses,

the

transition would exist in the compressive region in the vicinityof the tool edge [126,227]. While some research found that thelattice would transform from the crystalline to amorphous state[101]. Nevertheless, the phase transition enhances the plasticdeformation. Second, the formation of material defects such asmicrocracks would be hindered under a compressive stress state[142]. Thus, compression introduced by the tool edge is critical forthe ductile mode machining of brittle materials. Plastic flow wouldoccur in the phase transition region and the phase transition regionwould be extruded to form the chip as the tool advances[51,57,58]. Shear stress arises owing to the plastic flow, but it is

orientation shows higher wear resistance than that with

dodecahedral orientation [77]. For silicon carbide, the graphittion accompanying the high-temperature softening of diamonthe main wear mechanism [75]. Because of the hydrostpressure state, wear is more intensive on the flank face thanrake face. The cutting mode also affects the wear pattern. In brmode machining, microcraters attached to the edge make

cutting intermittent with high frequency and cause edge chippIn contrast, during ductile machining, diffusion wear with craon the rake face is predominant. As the cutting distance increahigh-temperature graphitization and the chemical reactions of

Page 5: Nanomanufacturing—Perspective and applications€¦ · down and bottom-up approaches, including additive, subtractive, and replication/mass conservation processes. These include

diamnied

Aductmicrmecmencircudamdiamamopresdowformphasmotmicrof ssing[12810]

groumotpossstru

2.2.

Nnufato

simuthe

Unfophysprobcan

ing

simuM

of dcondsecobe

poteand

matthe emeladvahas

can

The

Fig. 5is traunloa

F.Z. Fang et al. / CIRP Annals - Manufacturing Technology 66 (2017) 683–705686

ond on the flank face with silicon and oxygen are accompa- with grooves and stair-type structures [230].s mentioned above, subsurface damages may occur even inile mode machining. These damages, including dislocations,ocracks, and phase transition layers, may influence thehanical or optical functions of the product. Rigorous require-ts of surface integrity in many applications (e.g., integratedit and shortwave optics) motivate the research on subsurfaceages. A model was proposed to understand this issue in theond turning of silicon [227], as shown in Fig. 5. A superficialrphous layer results from the fast unloading of the high-sure beta-phase. A negative rake angle induces morenward plastic flow. As a result, a thicker amorphous layer ised. Dislocations are initiated from the boundary of the beta-e concentration in the subsurface because of the difficultion in silicon. With the tool feed, these dislocations could act asocrack cores and cause fracture in the subsurface. The patternubsurface damages is also influenced by the anisotropy ofle crystals [228]. On the Si (001) surface, cutting along the

�309 0] direction shows lighter surface damages than the [1–and [010] directions, where the microcrack and dislocationp propagate deeply into the bulk. In contrast, dislocationion in metal is much easier than in covalent crystals. It isible that the subsurface of copper comprises various latticectures except for the dislocation emission in nanocutting [169].

Numerical methods

umerical simulation provides further insights into nanoma-cturing. Physical quantities, which are difficult or impossibleobtain from experiments, can be easily obtained fromlations. However, simulation accuracy strongly depends onnumerical method and the parameters in the algorithms.rtunately, there is no such universal model that handles allical phenomena because of the multi-scale nature of thelems. As a result, one must select an appropriate method thatdescribe the system being studied. A typical nanomanufactur-system includes at least hundreds of atoms, and molecularlation methods are usually employed.olecular dynamics (MD) is such a method for the simulationynamical processes with atomic resolution. Given the initialitions, evolution of the system is determined by Newton’s

than those of a small model, especially for the mechanicalprocesses at the nanoscale. As shown in Fig. 6, a large MD modelfor the nanocutting of germanium is constructed. The atoms indifferent layers are colored to visualize the stagnation region[126]. With a modified potential, crack initiation in metallic glassesduring nanoindentation can also be simulated by MD [233]. Fig. 7shows the MD model for deposition and the surface topographyand crystal structure are also analyzed [218].

One limitation of MD is the short time scale. Although there arestrategies for motion integration with large time steps, the timescale is always incompatible with the processes in practice. If oneconsiders a system in steady state or equilibrium, anothermolecular method called Monte Carlo (MC) may be more effective.Unlike MD, particle traces are not computed exactly. MC mainlyemploys a stochastic process and sophisticated sampling. Thevalidity of MC is based on the fact that the distribution in the phasespace is invariable as the system is in equilibrium. Thus, MC issuitable for studying the equilibrium state and processes thatchange slowly with time, such as ion implantation and slowloading. In some studies, MC is also used for nanocuttingsimulation [118]. With decreasing cutting speed, MC methodsquickly become significantly more efficient than MD. Thetemperature results of the two methods show reasonableagreement [118].

In practice, an MD or MC model is always less than hundreds ofnanometers in each dimension. This issue is further highlightedwhen a complicated potential is used. The finite element method(FEM) can be employed to overcome this disadvantage in principle.However, the constitutive equations depend on a set of materialparameters obtained from macro tests. Furthermore, the com-plexity of the material structure at the nanoscale is extremelydifficult to formulate in the frame of continuum mechanics. Thus,

. Subsurface damage model of nanoturning of silicon [227]. The metallic phasensformed into an amorphous layer and dislocations occur during rapidding.

Fig. 6. MD simulation of nanocutting [126]. The split-flow of the material isvisualized by coloring the atoms in different layers.

Fig. 7. MD simulation of deposition [219]. The surface is roughened after thedeposition (right upper). During the subsequent annealing, a disordered depositionlayer is recrystallized (right lower).

nd law. To update the positions, the force on every atom mustevaluated reasonably. This is achieved by the well-testedntial functions in MD. However, these potentials are empiricalmay not precisely predict all the properties of a specificerial. For example, the Tersoff potential is suitable for studyinglastic property of Si, but it shows poor ability to reproduce theting point [49,191]. On the other hand, with the rapidncements in computer science, the size of the MD modelsignificantly increased. A system with up to millions of atomsbe simulated, which enhances the capability of MD computing.simulation results of a large model would be more reliable

Page 6: Nanomanufacturing—Perspective and applications€¦ · down and bottom-up approaches, including additive, subtractive, and replication/mass conservation processes. These include

ple,andrialfterandent

s isper0(a)uldtheed

thel asredrce. Intheingess,is aerer to

hastioningcalental

odsrchrial3Des

ande.g.,. In

arey inFM.

ooth

F.Z. Fang et al. / CIRP Annals - Manufacturing Technology 66 (2017) 683–705 687

FEM simulation commonly shows irrational results and is not oftenused in nanomanufacturing, although it is a powerful tool forproblems at the macroscale. Nevertheless, FEM can be used for thesolution of electromagnetic fields at a small scale such as the AFMtip-enhanced SERS [153] (Fig. 8(a)). Another similar method forsolving Maxwell’s equations is the finite-difference time-domain(FDTD) technique. Fig. 8(b) shows its application in the design andmodeling of electromagnetic acoustic transducers (EMAT)[221]. Although deposition or nanocutting cannot be preciselysimulated by FEM and FDTD, their concepts could be adopted tomodel the macroregions in nanomanufacturing (e.g., substrate).These macroregions represent the bulk material in which thenanoprocesses occur. They may serve as supporters or heatconductors that behave as continuum bodies. If the simulation isconducted by solely a molecular method, a vast amount of timewould be spent without obtaining interesting information.Consequently, multi-scale approaches have been presented tosave computation time without losing accuracy of the criticalregions. For example, in the quasicontinuum (QC) method,potential functions are used in the fully resolved region tocalculate the energy, which is computed by interpolation in thefully sampled region [14]. Based on the adaptive mesh algorithms,regions with intensive local deformation would be fully automaticand the substrate is represented by a small group of samplingpoints. As a result, simulation with sufficient accuracy and highefficiency could be achieved [242].

Note that although a dynamical simulation of nanomanufactur-ing cannot be directly performed by the quantum method, thisclass of tools is significant in the examination and optimization ofempirical potentials [241], which determine the accuracy of the

different from those in the conventional method. For examhardness is calculated from the area enclosed by the loading

unloading curves instead of using the residual area on the mate[182]. Fig. 9 shows the nanoindentation of silicon before and asurface modification. It is obvious that Young’s modulus

hardness are reduced by ion implantation, with the enhancemof plasticity [54].

As discussed above, the critical undeformed chip thicknesimportant in the machining of brittle crystals. To derive it, tacutting experiments are performed as illustrated in Fig. 1[131]. As the cutting depth increases, the smooth surface wobecome coarse with the existence of microcracks and

transition depth could be considered as the critical undeformchip thickness. For a single crystal, this critical value varies withdirection, indicating the anisotropy of the material as welmachinability (Fig. 10(b)) [210]. A similar process usually referto as nanoscratching is often conducted by atomic fomicroscopy (AFM), where the cutting depth is kept constantaddition, taper cutting can be also used to investigate

subsurface damages with electron microscopy. The scratchmethod concerns more of the dynamical properties of the procsuch as the cutting forces and material removal rate. Fig. 11

schematic of the scratching process. After several scratches wmade, the scratched area was scanned using the same indentecapture the images [119]. Furthermore, a dedicated instrumentbeen developed for the study of nanocutting. A nanoscale mostage and nanomanipulator have been developed in the scannelectron microscope (SEM) for in situ observation of nanosprocesses with a high resolution. They enable experimeverification of the extrusion mechanism in nanocutting [55].

Surface geometry can be measured by various methdiscussed in the following section. However, fundamental reseais more interested in surface topography and the internal matestructure. SEM is a powerful tool for observing complex

nanostructures with a wide magnification range 10–500,000 tim[87,217]. It is widely used in the design of MEMS devices [74]

the examination of bio-inspired functional surfaces [147],

manufacturing of microfibrillars by soft lithography on a PDMSnanomachining, the tool wear [41] and machined surface [116]often examined by SEM. A shortcoming of SEM is the inaccuracthe geometric measurement, which can be overcome by A

Fig. 8. Modeling using the FEM method (a) for the mesh of the AFM tip and electricfield distribution around it and FDTD method for (b) wave propagation in the EMATarrays [153,221].

Fig. 9. Nanoindentation of normal and ion implanted silicon [54]. The smindented mark on the implanted silicon indicates ductility enhancement.

Fig. 10. Taper cutting [131] and the groves on GaF2 single crystal [210]. The ductile–brittle transition is denoted by the onset of surface cracks as the cutting depthexceeds the critical value.

molecular simulation.

2.3. Experimental testing

This section briefly reviews some experimental approaches,especially for nanomechanics. Nanoindentation [144] has beenwidely used in the past decade to examine nanomechanicalparameters (e.g., elastic modulus, hardness of small materialvolumes in thin films). As in the conventional indentationtechnique, the applied load and penetration depth of the indenterare recorded. However, evaluations of some physical quantities are

Page 7: Nanomanufacturing—Perspective and applications€¦ · down and bottom-up approaches, including additive, subtractive, and replication/mass conservation processes. These include

Highcrititoolin thideathe

are

specstrutechultrathrocombut

contprelturin470

turnvarifor t

3. N

Nturevariinclubeamevalare

3.1.

MgrinmatNanperfusedit cainstamacellipture15 ndetepoli

Fig. 1near

F.Z. Fang et al. / CIRP Annals - Manufacturing Technology 66 (2017) 683–705688

ly accurate measurements of the diamond tool edge arecal for the cutting model. The edge radius of a sharp diamond

is usually �50 nm. This is beyond the measurement capabilitye visible range and is measured by AFM [207]. Yet, this is not anl method because of the narrow range and scratching betweenprobe and tool. Therefore, advanced measurement techniquesdesired. Transmission electron microscopy (TEM) or Ramantroscopy must be used for examining internal materialctures or detecting subsurface damage. TEM is a microscopynique in which a beam of electrons is transmitted through anthin specimen, interacting with the specimen as it passesugh it. It is the most straightest way to observe the materialponents and lattice defects with an ultrahigh magnification,the sample preparation is destructive and complicated. Inrast, Raman spectroscopy is nondestructive and is used for aiminary and fast test of subsurface damage in nanomanufac-g. For example, the existence of a characteristic peak atcm�1 indicates the amorphization of silicon after diamonding [227]. In Si-based MEMS, residual stress and stressation can be evaluated by the Raman shift, which is valuablehe optimization of the fabrication parameters [163].

anomanufacturing technologies

anomanufacturing technologies aim to fabricate nanostruc-s and parts with nanoaccuracy. These technologies employous nanoprocesses with high controllability and mainlyde nanomechanical machining, nanolithography, energy

deposition/epitaxy, and replication. Furthermore, productuation and its results for manufacturing performance controlcritical, which is the major task of nanometrology.

Nanomechanical machining

echanical machining mainly includes conventional cutting,ding, and polishing. Nanomechanical machining includeserial removal and machining accuracy at the nanometric level.

The polishing process can create smooth and shiny surfaces byrubbing them using a mechanical or chemical action, leaving asurface with a significant specular reflection; it is widely used inthe manufacturing of aerospace mirrors. For an optical systemworking in ultraviolet or extreme ultraviolet waveband, nano-metric form accuracy and roughness are significant. Because thesystem resolution is proportional to the working wavelength and asmall wavelength requires small wavefront aberrations andextremely high shape accuracy. This can be obtained with thepolishing process. However, note that the machining method itselfcannot be employed to achieve the nanometric shape accuracy;nanometrology methods introduced in the following section mustbe used for this purpose. Therefore, polishing is always considereda subsequent process of cutting or grinding. The main task ofpolishing is to remove cutting marks in addition to improving theform accuracy. Cutting marks that generate the diffraction orscattering pattern are mainly created by the regular cutting path[112]. The elastic emission machining (EEM) method has beenproposed to remove the marks on the fabricated surface in order toobtain an extremely smooth surface [93].

In addition, the polishing process causes less surface damagethan the cutting and grinding processes; therefore, it is widelyused to machine optical crystal materials. Hard and brittle crystalmaterials always work in high-energy applications, such as theNational Ignition Facility (NIF) requiring a high-energy threshold[95]. Hence, mechanical polishing of brittle materials is animportant area in the mechanical engineering field. The growthand applications of nanocrystalline diamond (NCD) thin films is ahot topic as NCD can retain the superior Young’s modulus(1100 GPa) of single-crystal diamond and it can be grown at lowtemperatures (<450 �C) [171]. Faster removal rates and arithmeticroughness (Ra) values of 2.8 nm are achieved when NCD films areused in conjunction with an initial mechanical polish [161]. How-ever, to further reduce roughness, NCD films are grown to 360 nmand chemical–mechanical polishing (CMP) is employed [198]. Thepolishing mechanism comprises the wet oxidation of the surfaceswith the polishing fluid facilitating the attachment of silicaparticles to the diamond film followed by shearing the particlesdue to forces from the polishing pad. Thus, with its lowtemperature, simple operation, and ability to polish wafers withsignificant bow, and already common CMOS industry supplies,CMP is an attractive method for the polishing of thin film diamond.

Fixed abrasive polishing can be used to simultaneously achievenanometeric surface quality and nanomaterial removal. In contrastto free abrasive polishing, the abrasives are embedded in thepolishing pad in the fixed abrasive polishing process, thus avoidingrandom abrasives from scratching the wafer surface and reducingthe cost of slurry; moreover, the slurry does not contain anyabrasive grains [199]. For example, a calcium fluoride (CaF2) crystalis machined by fixed abrasive polishing. The results show that theoptimal surface roughness Sa is 4.13 nm when polishing withNa3PO4 slurry while the worst is 8.31 nm when polishing withtriethanolamine (TEA) slurry [134]. The slurry is commonly used infree abrasives, with diameters less than 1 mm, dispersed in water-based solutions; a typical example is polishing slurry. Nowadays,mechanical polishing is widely used as the final or semi-finaltreatment of electronical and opto-electronical materials such asSi, glass, sapphire, GaAs, GaN, and SiC [3]. Recently, watercontaining micro-, nano-, or micro–nanobubbles (MNB) [2] of

1. Nanoscratching and imaging by AFM [119]. Plastic deformation (pile-up)the groove is presented.

ocutting and grinding are widely used for fabricating high-ormance functional surfaces. In particular, nanocutting can be

to obtain a fine finish and very high form accuracy. Moreover,n rough out large volumes of material quite rapidly. Fornce, multi-scale surfaces and biomimetic surfaces have beenhined by the end-fly-cutting-servo method [201]. One type ofsoid micro-aspheric array with nanopyramids was manufac-d with a mean height of 395 nm and standard deviation ofm [201]. However, the efficiency of these methods are mostlyrmined by the accuracy of machining compared with theshing technique [59].

gas has been revealed to have interesting properties that couldpossibly be exploited for water-based slurries used in polishing.Different slurries have been used to polish single-crystalline c-plane (0001) GaN substrates. Experimental results also proved that0.5-MNB slurries result in relatively higher removal rates andlesser damage than 0.5-EG slurry [2].

Noncontact polishing is the main approach to achieve ultra-smooth and damage-free surfaces. EEM, described above, is onetype of noncontact polishing method that can achieve anultrasmooth surface with a roughness of 0.1 nm. In addition,magnetorheological finishing (MRF) [46] and magnetorheological

Page 8: Nanomanufacturing—Perspective and applications€¦ · down and bottom-up approaches, including additive, subtractive, and replication/mass conservation processes. These include

alsoopeundh aquenalconh asialsPNeen

eptightioning

canighons.

nm an

is thegaptateical

with

F.Z. Fang et al. / CIRP Annals - Manufacturing Technology 66 (2017) 683–705 689

jet finishing [187] have also been proposed and applied recently.Plasma chemical vaporization machining (PCVM) has been used topolish quartz crystal wafers to achieve a damage-free surface andimprove thickness uniformity [226]. Plasma activates gas particlesand makes it react with the surface atoms, thus generating volatileproducts. Surface material processing is finally achieved by gasevaporation. Numerically controlled PCVM can be used to fabricatea large area mirror, whose schematic is shown in Fig. 12.

3.2. Nanolithography

Nanolithography is the study and fabrication of nanostructures,that is, patterns with at least one lateral dimension between 1 nmand 100 nm. Nanolithography has been developed to enable theindustrial production of integrated circuits (ICs) and microelec-tromechanical system (MEMS) devices. At present, its manufactur-ing ability of minimum feature size is continuously improved,which makes it a very active area of research in academia [62,205]and nanoscience including nanomedicine for diagnosis andtreatment [40] and nanoelectronics for denser and fastercomputing. The reproducible fabrication of nanoelectrodes is aneffective way to provide probes in nanoelectrochemistry research[152]. These nanoelectrodes can be manufactured reliably andreproducibly and can be characterized by conventional electro-chemical methods with a geometric surface area of Au nanoelec-trodes [81]. Nanolithography enables the fabrication of Aunanoelectrodes with a geometrical surface area of 160 nm � 1 mmon a SiN insulation film [115].

As described above, nanolithography can be categorized intomasked lithography and maskless lithography. Masked lithographyis suitable for mass production, usually with a minimum featuresize of several micrometers [168]. Since high temperature usuallycauses thermal deformation due to the diffusion effect andmicrocontact imprinting results in wide graphic lines, ultraviolet(UV) nanolithography has the advantages of high alignmentaccuracy and small feature size in the design and fabrication ofhigh-contrast alignment marks [92,135]. Some novel technologies,such as soft lithography and nanoimprint lithography, enable

various inks. DPN is the nanotechnology analog to the dip pen (called the quill pen), where the tip of the atomic force microsccantilever acts as a “pen” that is coated with a chemical compoor a mixture acting as an “ink,” and placed in contact witsubstrate (Fig. 13), which is the “paper” [175]. This techniallows surface patterning on scales of under 100 nm. Traditioprobe tips are made of hard materials such as silicon, silinitride, and PDMS elastomer, while various nanomaterials sucnanoparticles [177], liquid solutions [86], and organic mater[133] can be written. The manufacturing characteristics of Dincluding processing rate, tool life, and feature quality have brecently reported [179].

Secondary sputtering lithography (SSL), which is a new concof 3D lithography, can pattern 3D nanostructures with a haspect ratio over a large area through an effective fabricaprocess (Fig. 14). Fabrication of nanogap structures with a spacof tens of nanometers between highly periodic nanostructureslead to significant integration with low power consumption, hperformance, and low fabrication cost in electronic applicatiSuch a controllable and reliable approach can fabricate 10nanogap structures using an elastomeric nanostamp withembedded ultrathin pattern. The nanopattern of the stampformed by the SSL and mounted on a PDMS body (d)–(f). Then,stamp is imprinted on the polystyrene mask (g). The final nanostructure is realized by the etching process (h)–(i). It can faciliversatile applications such as molecular electronics and chemand biological sensing tools [106].

Fig. 12. Schematic diagram of numerically controlled PCVM [226].

Fig.13. Schematic of DPN. A water meniscus forms between the AFM tip coatedODT and the Au substrate [175].

Fig. 14. Schematic of the fabrication of nanogap structures using an elastomericstamp with an embedded ultrathin pattern [106].

fabricating structures of several or few tens of nanometers; this isdetailed in the replication section. Maskless lithography alwaysworks in the direct-writing mode, creating arbitrary patterns atultrahigh resolution with a minimum feature size of a fewnanometers. In these methods, an energy beam—such as anelectron beam [7] and a focused ion beam [202]—is mainly used inthe scanning mode on a resist for exposure. However, thesemethods mainly satisfy the academic requirements owing to thelower throughput. Dip–pen nanolithography (DPN) is a scanningprobe lithography technique that uses an atomic force microscope(AFM) tip to directly create patterns on a range of substances with

Page 9: Nanomanufacturing—Perspective and applications€¦ · down and bottom-up approaches, including additive, subtractive, and replication/mass conservation processes. These include

3.3.

Emacenerthe

beamF

tor ifield[202ultraevercuttdamfundoptinan[100a scaobseshowindesignamoedge(5 kabouradioptied taddithe

FobtarelatypiFIB

usinmacprocmacdrasturaprimand

tomopenchar

Fig. 1thickwith

F.Z. Fang et al. / CIRP Annals - Manufacturing Technology 66 (2017) 683–705690

Energy beam machining

nergy beam machining is a well-known noncontact-typehining process that can be applied to almost all materials. Angy beam is focused for melting or vaporizing, and removingunwanted material from the parent material. Typical energy

includes ion beam, laser beam, and electron beam.ocused ion beam (FIB) is particularly used in the semiconduc-ndustry, in materials science, and increasingly in the biological

for site-specific analysis, deposition, and ablation of materials]. Diamond cutting tools with nanometric edge radii used inprecision machining can be fabricated by FIB [192,225]. How-, the performance of such diamond tools and the lifetime of theing edge would be degraded because of the nanoscale lateralage induced by FIB [225]. To decrease this damage, theamental effects are examined and the fabrication process ismized by experimentally examining the tool edge radius forocutting and the minimum thickness of the chip (MTC),189]. An FIB setup is a scientific instrument that resemblesnning electron microscope (SEM). High-energy FIB (30 kV) canrve and measure diamond tool edge radii of about 16 nm, asn in Fig. 15 [220]. It has been found that low-energy FIB caned reduce the gallium ion implantation depth and isificant in decreasing the thickness of the FIB-inducedrphous layer. A nanocutting experiment using a diamond tool

radius of 22 nm, which is fabricated using low-energy FIBV), without a coolant achieved the smallest chip thickness oft 9 nm. The ratio of the minimum chip thickness and tool edgeus (MTC/r) reduced from about 1.3 to 0.3–0.4 only aftermization of the tool’s performance. Therefore, it was conclud-hat decreasing the FIB-induced damage of diamond tools andng a coolant during nanocutting are beneficial for improvingresearch on nanocutting and the minimum chip thickness.IB tomography has also been proven to be very useful inining high-resolution 3D microstructural information overtively large material volumes with nanoscale features [96]. Itcally involves repeated removal of material in thin slices usingand then imaging the freshly exposed material cross-sectiong an electron beam. With the advent of dual-beam FIB/SEMhines [13], this iterative serial sectioning and imagingedure eliminates the need for specimen transfer betweenhines and enables automation of the entire process, thustically reducing the time and effort. Accurate 3D microstruc-l reconstruction by high-resolution FIB nanotomographyarily relies on obtaining high-quality cross-sectional imagesaccurately determining the slice thicknesses. Automated FIBography has been achieved to accurately reconstruct the 3D

network structure of nanoporous gold with a smallacteristic length scale of �40 nm [97,148].

Because of its unique 3D processing capability, arbitrary shapedesignability, and high fabrication accuracy up to tens ofnanometers (significantly exceeding the optical diffraction limit),femtosecond laser direct writing (FsLDW) has been established as ananoenabler to solve problems in diversified scientific andindustrial fields [146,240]. Therefore, it is significant in theapplications of delicate nanoprototyping in microelectronics,micromechanics, microoptics, and microfluidics [129,193,212,219]. Typically, the major problem that restricts the spatialresolution of a metal micro–nanostructure fabricated via FsLDW isthe uncontrollable growth and aggregation of metal nanoparticlesduring the photonreduction process, as shown in Fig. 16 [21].Refined 3D silver structures have been successfully manufacturedwith the aid of suitable surfactants that inhibit the growth of metalparticles. By controlling the laser power and the concentration ofsurfactants, minimum feature sizes as large as 180 nm for 3Dstructures and 120 nm for 2D patterns were obtained [222]. FsLDWhas also been used to fabricate microrings, microdisks, and doublemicroring lasers [121,231]. The ultrafast laser can enhance thesurface area and form various micro–nanostructures by energyirradiation, which can be used to improve the light trappingproperties and make the structures superhydrophobic for self-cleaning applications [158].

The basic principle of electron beam machining is the meltingand vaporization of the work material by the thermal energygenerated by the electron beam. Electron beam lithographyfollowed by reactive ion etching is the most common techniquefor the nanopatterning of supported 2D materials with differentstructures such as Hall bars [154], nanoribbons (GNR) [85], andquantum dots [84] on insulating substrates. Especially forgraphene, a 2D lattice of carbon atoms is promising for buildingdevices with exceptional electronic properties, where freelysuspended graphene without contact with any substrate is theultimate truly 2D system [8,181]. High-resolution room tempera-ture nanoetching of suspended graphene layers into a graphenedevice is achieved by an electron beam [188].

3.4. Deposition and etching

Fig. 16. Schematic illustration for fabrication of metallic micro-nanostructures byFsLDW induced photo reduction of metal ions solution [21,222].

5. SEM measurement of the tool edge radius and micrographs of chips withness less than 10 nm without coolant during nanocutting using a diamond tool22 nm edge radius and 5 kV FIB [220].

Deposition, especially thin film deposition, describes anytechnique for depositing a thin film of material onto a substrateor onto previously deposited layers. The control layer thickness iswithin a few tens of nanometers.

Chemical vapor deposition (CVD) and physical vapor deposition(PVD) are the main deposition methods. CVD is a chemical processused to produce high-quality high-performance solid materials.CVD is often used in the semiconductor industry to produce thinfilms. On the other hand, PVD uses physical processes (such asheating or sputtering) to vaporize a material, which is then

Page 10: Nanomanufacturing—Perspective and applications€¦ · down and bottom-up approaches, including additive, subtractive, and replication/mass conservation processes. These include

asetioningnts.

ofma-

ateousve-the

bynedowsteprd-of a

3Dtual

ledos-aveeric

ith a

and

F.Z. Fang et al. / CIRP Annals - Manufacturing Technology 66 (2017) 683–705 691

deposited on the object that requires coating. Deposition is alsocalled epitaxy, which is the growth process of a solid film on acrystalline substrate in which the atoms of the growing film mimicthe arrangement of the atoms of the substrate. Epitaxy issignificant in both fundamental research on thin film growthprocesses and the application of these procedures to grow high-quality crystal layers from different materials to realize technicallyimportant functions.

In typical CVD, the substrate is exposed to one or more volatileprecursors that react and/or decompose on the substrate surface toproduce the desired deposit. Volatile byproducts are alsofrequently produced, which are removed by gas flow throughthe reaction chamber. Nanofabrication processes widely use CVDto deposit materials in various forms. CVD overcomes thechallenge of films synthesized with a lateral size of severalmicrometers. For example, large-area MoS2 films are directlysynthesized on SiO2/Si substrates [176]. The high-resolution TEMimage reveals the hexagonal lattice structure with a lattice spacingof 0.27 and 0.16 nm assigned to the (100) and (110) planes,respectively. Atomic layer deposition (ALD), considered a subclassof CVD, is a thin film deposition method in which a film is grown ona substrate by exposing its surface to an alternate gaseous species(typically referred to as precursors) [138]. Exceptionally conformalcoatings spanning the periodic table and atomic-scale precisionindependent of substrate geometry are intrinsic to ALD and resultfrom sequential and self-limiting surface reactions. ALD typicallyuses metalorganic precursors and hydrogen sulfide (H2S). WithH2S, various sulfide materials can be deposited by ALD, and the listis rapidly expanding [39]. ALD can also be used to fabricate 3Dphotonic crystals within self-assembling silica nanosphere arraysthat exhibit strong photoluminescence [113].

Over the last few years, various ionized sputtering techniquesthat can achieve high ionization of sputtered atoms have beendeveloped. Ionization of sputtered vapor improves film quality[90,149]. The development and application of magnetron sputter-ing systems for ionized physical vapor deposition (IPVD) indicatethat it is a promising technique [4,90]. Fig. 17 shows the internalimage of an IPVD equipment that is adopted to grow indium-tinoxide (ITO) films. The high-density plasma generated from theinternal inductively coupled plasma radio frequency (ICP-RF) coil isshielded with a quartz tube [30]. The ITO films deposited by IPVDare 40 nm in thickness. Therefore, it is very effective for developingdenser films with good optical and electrical properties at a lowtemperature [30].

Deposition is an additive process in contrast to etching, which istraditionally a process of removing the unprotected materialsurface to create a design structure and can now be used at thenanoscale [15]. Etching can be mainly categorized as wet and dryetching. Wet etching needs a liquid solution to dissolve thematerials; therefore, a mask that cannot be dissolved should beselected. In contrast to isotropic etching, anisotropic etching usesdifferent etch rates in different directions in the material [117]. Wetetching is the traditional method and is not suitable for fabricatingthin films. On the other hand, dry etching has much higherresolution and cost. It can be classified into three groups: reactive

ion etching (RIE) [105], sputter etching [164], and vapor phetching [162]. RIE can be modified according to the applicarequirements. RIE removes the uncovered surfaces by usaccelerated ions that are excited by plasma in gas environmeDeep RIE can achieve almost vertical sidewalls at depthshundreds of microns [114]. Cryogenic etching aims to limit plasinduced damage during RIE at room temperature [200].

Plasma-assisted etching has been proposed to fabricdiffractive optical elements (DOEs) or metasurfaces [110]. Variultrathin (characteristic dimension less than the optical walength) flat optical components can be manufactured using

same template [23]. However, current nanostructure fabricationetching is usually limited to planar structures as they are defiby a planar mask. A method for fabricating a 3D mask that allone to fabricate 3D monolithic nanostructures using a one-setching process has been developed. The mask is written in a hamask layer that is deposited on two adjacent inclined surfaces

Si wafer. By projecting two different 2D patterns within onemask on the two inclined surfaces in a single step, a mualignment between the patterns is ensured (Fig. 18) [82].

Through single-material deposition on the self-assembmicrosphere array monolayer, 3D-shell-like artificial chiral nantructures, as shown in Fig. 19, have been fabricated; they hpotential applications in integrated photonics and enantiomsensors [24].

3.5. Nanoprinting

Fig. 18. Single-step etching mask fabrication on two perpendicular surfaces wsingle 2D mask projected on a 3D surface [82].

Fig. 19. Schematic of 3D shell-like artificial chiral nanostructures by depositionself-assembling technology [24].

tur-itedaticing,d atcan

res theally.Fig. 17. Photograph of internal ICP-type IPVD [30].

The printing method is one specific additive nanomanufacing process, while the traditional methods with precision liminclude thermal and piezoelectric printing as well as electrostprinting. With the advent of electrohydrodynamic jet printdroplets that are smaller than the nozzle itself can be printeroom temperature and at high speed. Moreover, the nanojets

manufacture nanostructures well [178].Nanoprinting achieves more complex micro- or nanostructu

than other methods. In particular, 3D nanoprinting constructsobject or structure layer by layer both vertically and horizont

Page 11: Nanomanufacturing—Perspective and applications€¦ · down and bottom-up approaches, including additive, subtractive, and replication/mass conservation processes. These include

Depobjeimpfor aor qhuntargof thcapitensbiosexam

Ato dutilisurfmulenca

Ncontmicrstamsolidmetfeaton aelas

Nbiotularnanwith(200Hybstrulatti

Inanmatcoolthe

depodemuse

and

DODrequcomsubsexplgene

Fig

F.Z. Fang et al. / CIRP Annals - Manufacturing Technology 66 (2017) 683–705692

osition is an important approach for printing 3D nanoscalects. For example, dip–pen nanolithography (DPN) is anortant nanoprinting method that has eliminated the need

jet nozzle. The nanofountain pen (NFP) technique uses a glassuartz capillary that has a tapered tip and an aperture of a fewdred nanometers to deliver liquid from the capillary onto aet substrate. When liquid fills the capillary, it flows to the ende tapered tip by capillary forces. The liquid flows out of thellary only when it contacts the substrate owing to the surfaceion of the droplet formed at the end of the capillary [179]. Apecific nanopatterned peptide array has been developed toine how the nanoenvironment controls cell behavior.lthough the cells are microns in size, they sense and respondynamic nanoarchitecture changes of the ECM. Parallel DPN waszed to pattern an array of electroactive alkanethiols on goldaces [216]. DPN was used to form a microarray of phospholipidtilayers, which successfully delivered drugs to cells bypsulating small molecules [125].anoprinting also uses other lithography technologies. Micro-act printing is a soft lithography method that can fabricateo- and nanoscale structures. It uses a patterned elastomericp to transfer chemical or biological materials (inks) onto a

substrate. The scanning probe contact printing (SP-CP)hod was proposed to allow one to make micro- and nanoscaleures with excellent alignment registration capabilities based

novel scanning probe microscope (SPM) with an integratedtomeric tip, as shown in Fig. 20 [214].anoprinting has found many applications in electronics,echnology, and material synthesis/patterning [178]. In partic-, it is widely used in nanobiotechnology for constructingobiomaterials or nanostructures. Porous PCL-b-TCP scaffolds

identical pore sizes (500 mm) but different strut sizes and 400 mm) were manufactured by an in-house-builtprinter. The scaffolds were melted at 140 �C, extruded as tinyts, and laid in 0/901 patterns layer-upon-layer to form porousce-shaped scaffolds, as shown in Fig. 21 [184].nk writing technology is an important method to manufactureobiomaterials or nanostructures [132]. In inkjet printing, liquiderials (in droplet form) are often converted into solid viaing (e.g., crystallization or vitrification), chemical changes (e.g.,cross-linking of a polymer), or solvent evaporation after thesition process [204]. There are two different modes: drop onand (DOD) and continuous inkjet (CIJ). Generally, CIJ systemsfluids with lower viscosity at a higher drop velocity than DODare mostly used where printing speed is important. In contrast,

is used where a smaller drop size and higher accuracy areired, and it has fewer limitations in terms of ink propertiespared with CIJ [204]. A micropillar array that allows tuningtrate rigidity via the length of the pillars was used to furtherore the relationship between the adhesion area and forceration in nanobiomaterials [43].

3.6. Nanoassembly

Assembly is a bottom-up approach. It is suitable formanufacturing nanosystems and nanostructures with complex3D geometry. Furthermore, the self-assembly process, whichprogresses spontaneously, is driven by chemical or physicalinteractions, such as Coulomb forces, Van der Waals' forces, andhydrogen bonds, between atoms and molecules and is sensitive tothe molecular configuration and chemical and physical environ-ments [160]. The size of the fundamental element could vary fromatomic- to microscales according to applications.

One major application of nanoassembly is nanoelectronics,where the circuit comprises nanodevices, nanowires, or even largemolecules. The limited resolution in the lithography process can beeasily overcome by this method. As a result, high-performancecomputing, mass storage, and miniaturization could be achieved[109]. For example, large DNA molecules can be used as a templateto construct the electric connection at the nanoscale [48]. The goldelectrode array is first fabricated and then coated by oligonucleo-tide monolayers. Then, it is dipped into a DNA solution havingpredesigned sequences and sticky ends. As a result, the DNAnetwork is formed owing to self-assembly processes betweencomplementary DNA sequences. Finally, some metal grains areconnected to the network to achieve electronic functions.

Nanoassembly is also commonly used to manufacture opticalfunctional surfaces, such as surface-enhanced Raman scattering(SERS). A 3D woodpile-like structure is realized by Ag nanowires,as shown in Fig. 22 [26]. The Ag nanowire arrays are assembled in alayer-by-layer fashion with different heights. Atomic forcemicroscopy is used to evaluate the morphology of the assembledsystem. The x–y plane Raman mapping indicates the SERSresponse over a large test area. Another structure with SERS isachieved by nanoparticles with specific features [60]. As shown inFig. 23, the first step is to prepare the surface features by Au atomconcentration and nucleation. Then, a monolayer or multiple layersis constructed on a silicon substrate through the self-assembly of

Fig. 21. Nanobiomaterials fabricated by nanoprinting [184].

. 20. Schematic of scanning probe contact printing (SP-CP) method [214].

the multi-tip gold particles. This method can form a largehomogeneous SERS layer with high reproducibility.

The assembly process could take place at the atomic orhierarchical scale. For example, one can obtain a monolayerconsisting of octanethiol atoms on a Au (111) surface by chemicalbonds induced self-assembly [166]. Fig. 24 shows a hierarchicalstructure ranging from ten nanometers to several microns, whichis manufactured by self-assembly and micromolding techniques[232]. Some bionic nanofibril structures are also designed astissue-engineering scaffolds and biomimetic engineering materi-als [238].

Page 12: Nanomanufacturing—Perspective and applications€¦ · down and bottom-up approaches, including additive, subtractive, and replication/mass conservation processes. These include

annall, orelyd as

thecedputed.ratetiong ofster

bymer

as36],umtionSoftsofto aardinge isicalext-puttwo

25.n of

andigh

toosiclmsh aubeeres of

toe ofhis

in

ighcalelingassroll

F.Z. Fang et al. / CIRP Annals - Manufacturing Technology 66 (2017) 683–705 693

3.7. Nanoreplication

Manufacturing of nanoscale features by replication isefficient way to create nanofeatures on surfaces with functioproperties, e.g., hydrodynamic, mechanical, biological, chemicaoptical. Replication on micro- and nanoscales was extensivcovered in a CIRP keynote paper [88]. Here, replication is definethe transfer of a master geometry onto a substrate by copyingmaster geometry. It was discussed that the transfer can be induby means of heat, force, chemical activation, or other energy inor activation. Often, a combination of effects can be observUsually, there is physical contact between the master and substmaterial to ensure the transfer of geometry. Nanoscale replicaoriginated in the 1980s by the so-called LIGA process consistinX-ray lithography, electroforming, and molding [6,151]. The mageometry is created on a resist and replicated onto Nielectroplating. This geometry is then used for subsequent polyreplication.

Replication is mainly implemented by lithography, suchprojection printing photolithography [73], soft lithography [and nanoimprint lithography (NIL) [33], achieving a minimfeature size of several or tens of nanometers. Recently, injecmolding has been developed for nanoscale replication [88].

lithography arose from the innovation of using a relatively

polymer stamp to imprint a solution of molecules (ink) ontsubstrate for pattern transferring. In contrast, NIL utilizes a hmold for imprinting on a polymer film for nanoscale pattern[31]. Once a solid stamp with a nanorelief on the surfacfabricated, it can be used for the replication of many identsurface patterns. NIL has emerged as a candidate for ngeneration manufacturing methods promising high throughand high resolution at a relatively low cost [194]. NIL includes

modes: thermal NIL and UV-assisted NIL [25], as shown in Fig.Table 1 compares the principle, materials, tools, and resolutiothe main nanoreplication methods [88,180].

Replication technologies satisfy both academic (biological

new material research) and industrial requirements (hthroughput). An artificial fossilization process is developedfaithfully replicate morphological hierarchies of natural cellulsubstances from macroscopic to nanometric scales. Titania fi

were replicated precisely from natural cellulosic fibers witvariable tube outer diameter (30 nm–100 nm) and a uniform tthickness (10 nm) [99]. Bulk metallic glasses (BMGs) wproposed to be used for replication to produce componentnano/microdevices [34,122]. Various pattern sizes from �2 mm100 mm were transferred from original Si dies. The feature sizperiodic nanostripes is 609 nm with a pitch of 156 nm [27]. Tindicated that the performance of the Au-based BMG is goodterms of micro/nanoreplication.

UV roll-to-roll nanoimprinting is employed to fabricate hfidelity resin molds with nanoscale as well as micro–nanosfeatures; the process is illustrated in Fig. 26 [47]. One enabtechnology that is particularly worthy of attention is the mproduction of high-resolution resin molds via UV roll-to-

Fig. 23. Nanoparticle preparation and Raman image/spectrum of SERS layer [60].

Fig. 22. Woodpile-like SERS structure fabricated by nanoassembly [26].

Fig. 24. Hierarchical structure. The pattern and nanostructures are formed bymicromolding and particle self-assembly, respectively [232]. Fig. 25. Schematic of thermal NIL (left) and UV-assisted NIL (right) [174].

Page 13: Nanomanufacturing—Perspective and applications€¦ · down and bottom-up approaches, including additive, subtractive, and replication/mass conservation processes. These include

nancan

Thisemprollefilmmolnanand

4. M

Amanstruof

nanScanmacmajoturinmenCIRP

4.1.

Salontunnwaswithmea

Aareadriv

TableComp

Pro

NILNILSofSteInje

Fig. 2resin

F.Z. Fang et al. / CIRP Annals - Manufacturing Technology 66 (2017) 683–705694

oimprinting at such a high throughput and low cost that theybe used only once and disposed off or recycled economically. technique results in the production of textured films byloying a mold mounted, etched, or directly written onto ar, which is in contact with the imprint medium to pattern the

surface [140,145]. The SEM cross-sectional view of the resind manufactured by UV roll-to-roll nanoimprinting indicates aostructure with a 50 nm feature diameter, up to 120 cm2 area,a 10 m/min throughput.

easurement and evaluation

s described in the previous sections, numerous workpiecesufactured by nanomanufacturing comprise nano- and micro-ctures over an extended area. Reliable and fast measurementssuch workpieces is necessary for both control of theomanufacturing processes and final product acceptance.ning probe microscopes (SPMs), microcoordinate measuringhines (microCMMs), and optical measurement systems arer dimensional measurement technologies for nanomanufac-g [87]. In this section, recent accomplishments in measure-t technologies are presented as an update of the related past

keynotes [38,94,215].

along with precision positioning technologies [71]. The nanopo-sitioning and nanomeasuring machine NPMM-200 can reach ameasurement range of 200 mm � 200 mm � 25 mm with aresolution of 20 pm [104]. This provides the SPM a potential forthe dimensional measurement of nano- and microstructures over alarge area.

On the other hand, conventional SPM systems perform rasterscanning for 3D measurements. The repeated line-by-line scansmake the large area measurement time-consuming. The measure-ment time can be reduced by performing spiral scanning as onlyone continuous scan along the radial direction is needed [67].Fig. 27 shows a large-area spiral scanning AFM with an air spindlethat can mount a specimen with a diameter up to 300 mm. Theangular resolution of the rotary encoder in the air spindle was0.009 arc-seconds, enabling an AFM lateral resolution of 2 nm at100 mm with respect to the spindle center. Note that the center ofthe measurement coordinate in the SPM should be aligned withthat of the spindle to prevent distortions in the AFM image[68]. The spiral scanning mechanism has also been applied to alarge-area STM [80].

The geometry of the SPM probe is another critical issue in themeasurement of nano- and microstructures with large amplitudesor high aspect ratios. The probe tip must have a sufficient lengthcompared with the amplitude of the structure as well as a small tipangle. Fig. 28 shows an AFM probe fabricated by gluing a 120 mmlong diamond tip on a tipless silicon cantilever. The free end of thediamond tip was sharpened to a three-sided pyramid with a

1arison of nanoreplication techniques [88,180].

cess Tool Material Principle Resolution

(thermal) Hard (Si) Thermo-plastic Temperature 5 nm (UV) Quartz Elastomer (backplate) UV sensitive resin UV curing 5 nmt lithography Elastomer Liquid slurry Deposition 50 nmncil lithography Template MEMS – Evaporation 50 nmction molding Ni shim Thermo-plastic, TPE Temperature >100 nm

6. Process schematic detailing the major steps in producing high-resolution molds via UV roll-to-roll nanoimprinting [47].

Fig. 27. Schematic of the large-area spiral scanning AFM [67].

Fig. 28. Long diamond AFM probe tip [213].

Scanning probe microscopes

canning probe microscopy (SPM) with nanometric resolutionsg vertical and lateral directions, represented by scanningeling microscopy (STM) and atomic force microscopy (AFM),

originally invented to observe atomic surface structuresin a very limited area. It has been applied to the dimensionalsurement of nano- and microstructures [38].

recent achievement is the significant expansion of scannings in XY and the tracking stroke in Z by using linear motor-en stages instead of the conventional piezoelectric actuators

Page 14: Nanomanufacturing—Perspective and applications€¦ · down and bottom-up approaches, including additive, subtractive, and replication/mass conservation processes. These include

us,tactPMng-rge

ofthisatic

theace.

/3Dtur-s ofis a

s oft is onedce-lti-ble

by theeauface, isblein aion. the the

Y-s Aand

Y- the

Z-ones tothefor-otalgth

F.Z. Fang et al. / CIRP Annals - Manufacturing Technology 66 (2017) 683–705 695

sharpness on the order of 10 nm and a tip angle of 40�. It has beenemployed in a large-range metrological AFM for measuring astandard step height of 100 mm [213]. Fig. 29 shows a longtungsten tip for a scanning electrostatic force microscope (SEFM)[70]. The electrochemically polished tungsten tip with a tip angleof 10� was glued on one beam of a tuning fork quartz crystalresonator with conductive epoxy. An additional probe tip with anidentical shape was glued on the other beam of the resonator as acounterweight for achieving a high quality factor.

Before performing profile measurements using the SPM, theSPM probe tip must be aligned with the measurement area ofinterest within the scanning range of the SPM. This is generallyperformed based on visual feedback using an optical microscope.However, it often becomes difficult when the SPM tip is alignedwith specific nano- and microstructures. Fig. 30 shows an opticalalignment sensor for AFM measurements of 3D edge profiles ofdiamond cutting tools [72]. The laser beam with its optical axisalong the Y-direction from the laser source of the optical sensor isfocused to form a beam waist near the AFM probe tip and thecutting tool edge top. The laser beam is then collected through alens and directed to a photodiode. Thus, the output of thephotodiode, which is verified to be a function of the X-, Y-, and Z-positions of the AFM tip and/or the tool edge top, can be employedfor automatic and fast alignment of the AFM tip with the tool edgetop. The 3D cutting edge profile of a microtool with a nominal noseradius of 1.5 mm can be measured [69]. Based on the 3D AFMimage, the edge sharpness was evaluated to be approximately40 nm and the out-of-roundness of the edge contour wasevaluated to be 42 nm.

Like any other type of surface profile measurement instrument,the measurement force, which is determined by the contactcondition between the probe tip and the measured surface, is animportant issue in SPM. Because the apex radius of the SPM tip isvery small, on the order of 10 nm, even a small contact force can

generate a large contact pressure on the sample surface. Threduction in the contact force or implementation of nonconSPM is necessary for avoiding surface damage. A noncontact Sfor surface profile measurement was constructed by utilizing lorange electrostatic force, which can scan over a surface with a latip for a sample separation of up to several hundredsnanometers [107]. The dual height method is employed in

SPM to extract the tip to the sample distance from the electrostforce signal for accurate surface profile reconstruction withoutinfluence of the electric field distribution over the sample surf

4.2. Micro-CMMs

A microCMM with a microprobe is an effective tool for 2Dcoordinate measurements of microstructures in nanomanufacing [35]. The capability of detecting the 2D/3D displacementthe probe tip with high resolutions and low contact forces

primary requirement for such a microprobe [215].Considering the difficulty in making direct measurement

the displacements of a probe tip ball, the measuremenindirectly performed through a flexure hinge mechanismwhich the probe stylus is attached. The measurement is performbased on the geometric relationship between the 2D/3D displaments of the probe tip and the multi-degree-of-freedom (muDOF) deflections of the hinge mechanism. In Fig. 31, a movaplane, on which the probe stylus is attached, is suspendedflexure hinges so that translation exists in the Z-direction andtwo rotations A and B are its degrees of freedom [139]. A Fizinterferometer, which detects the deviation of the movable surbeing tested relative to the non-movable reference surfaceemployed to measure the multi-DOF movement of the movaplane. The Z-direction displacement of the probe tip results

parallel shift of the measurement plane along the same directThis changes only the intensity of the interference pattern overentire diameter of the laser beam and not its shape, from whichZ-displacement can be evaluated. Meanwhile, the X- anddirectional displacements of the probe tip cause the rotationand B of the movable plane, which altered the orientation

distance of the interference fringes, from which the X- anddisplacements of the probe tip can be calculated if the length ofprobe stylus is calibrated. Consequently, the X-, Y-, anddisplacements of the probe tip can be determined using

sensor (the Fizeau interferometer). The principal contributorthe measurement uncertainty of the 3D microprobe are

uncertainties of the interferometer and the computing permance of the surface orientation being examined. The tmeasurement uncertainty was U (k = 2) = 0.24 mm for len�0.24 mm.

Fig. 29. Long tungsten EFM probe tip [70].

Fig. 30. Schematic of automatic alignment of the AFM probe tip with the cutting tooledge top [72]. Fig. 31. 3D microprobe with a Fizeau interferometer [139].

Page 15: Nanomanufacturing—Perspective and applications€¦ · down and bottom-up approaches, including additive, subtractive, and replication/mass conservation processes. These include

AFig.

attafor

Michmenthe

�20F

Y-dithe

beamshafpassphothe

corrwhistyludiamto vi0.4 mstyluhas

[155D

a tumicrshowstyluto onasse

F.Z. Fang et al. / CIRP Annals - Manufacturing Technology 66 (2017) 683–705696

similar microprobe with two optical sensors is shown in32 [136]. The rotations of the plane on which the probe stylus isched are detected by an autocollimator-based 2D angle sensormeasuring the X- and Y-displacement of the probe tip. Aelson interferometer was used to measure the Z-displace-t. The probe could achieve a uniform stiffness of 0.5 mN/mm inX, Y- and Z-directions with measurement ranges of

mm � �20 mm � 20 mm (X � Y � Z).ig. 33 shows a 2D optical fiber microprobe in which the X- andsplacements of the probe tip are obtained by detecting those ofstylus shaft made of optical fiber [155]. The focused lasers from two laser diodes are transmitted through the stylus

t along the X- and Y-directions, respectively. The laser beamsing through the shaft are then received by two bi-celltodiodes (PDs). Because the fiber shaft functions as a lens inXY plane, an X- or Y-displacement of the shaft will cause aesponding displacement of the light spot on the Y or X-PDs,ch can be detected by the PD. In the microprobe in Fig. 33, thes is 2 mm in length and 3 mm in diameter, to which a 5 mm-eter glass sphere is attached. A piezoelectric tube is employedbrate the sphere in a circular motion, describing a diameter ofm in the X–Y plane, at a frequency of 1794 Hz to prevent thes tip from adhering to the surface being measured. The probebeen employed to measure a microhole of diameter 100 mm].isplacement measurements of the probe tip are simpler usingning fork quartz crystal resonator (TF-QCR)-based vibratingoprobe without additional displacement sensors [102]. Asn in Fig. 34, the vibrating microprobe comprises a probes, TF-QCR, and PZT actuator [28]. The probe stylus is attachede beam of the TF-QCR. The actuation by the stylus and TF-QCRmbly with the PZT oscillates the probe tip along the Y-direction

at the resonant frequency of the TF-QCR. A reduction in theresonant frequency occurs when the probe tip approaches theworkpiece surface in each of the X-, Y-, and Z-directions, which canbe detected based on the piezoelectric effect of the quartz crystal ofthe TC-QCR. In Fig. 34, the displacement measurements along Zand X are made in the shear mode and that along Y is made in thetapping mode. The probe stylus was fabricated by gluing a glassmicroball onto the tip of a tapered shaft made by stretching aheated glass tube with an effective working length up to 2 mm. Thediameter of the tip ball can be selected from several micrometersto hundred micrometers according to the specifications of themeasurement targets. The microprobe has been employed inmicroslit width measurements of a slot die with an onlinequalification system [28].

4.3. Optical systems

Optical profilers and optical microscopes based on variousmeasurement principles, which were well summarized by Hockenet al. [94], are still significant in the measurement of micro-structures in nanomanufacturing. Various super-resolution tech-niques have been developed to improve the lateral resolution ofoptical microscopes beyond the diffraction limit to enablemeasurements of subwavelength structures. Through-focus scan-ning optical microscopy (TSOM) is one such example [10]. Thistechnique is based on the fact that out-of-focus images also containuseful information regarding the target surface being measured.Instead of one “best focus” image, a set of through-focus images ofthe surface are captured by scanning the microscope along theoptical axis, as shown in Fig. 35. By using model-based dataanalysis, the surface profile can be reconstructed with a lateralresolution much smaller than half of the wavelength of illumina-tion. A gold particle with a diameter of 60 nm has been successfullydetected by this technique.

Fig. 36 shows a super-resolution technique using infraredstanding evanescent waves [195]. This technique is based on ascattered distribution retrieval algorithm using a structuredillumination combined with a highly sensitive dark-field inspec-tion method using an infrared evanescent illumination. It has been

Fig. 32. 3D microprobe with displacement and angle sensors [136].

Fig. 34. Vibrating 3D microprobe [102].

Fig. 33. 2D optical fiber microprobe [155].Fig. 35. Through-focus scanning optical microscopy method for measurement ofsubwavelength structures [10].

Page 16: Nanomanufacturing—Perspective and applications€¦ · down and bottom-up approaches, including additive, subtractive, and replication/mass conservation processes. These include

lemosered

a isf X

tionaterg isteds ofr so

be

F.Z. Fang et al. / CIRP Annals - Manufacturing Technology 66 (2017) 683–705 697

demonstrated that the technique could resolve discrete scatteredelements separated by 60 nm.

Over the past years, significant advancements have also beenmade in optical scatterometry for the fast evaluation of sub-wavelength nano- and microstructures such as diffraction gratingsin nanomanufacturing. Compared with conventional image-basedtechniques, optical scatterometry does not depend on images withwell-defined edges and allows for the indirect determination of theparameters of the nano- and microstructures, such as depth, pitch,and linewidth.

In white light interference Fourier scatterometry, a broadbandwhite light source and a Köhler bright-field illumination with ahigh numerical aperture (NA) objective are employed [167]. Thisallows the sample surface to be simultaneously illuminated bywide incident and azimuthal angle ranges. By analyzing the Fourierplane, the Fourier scatterometry method enables obtaining theangle-resolved diffraction spectrum without any mechanicalscanning. It is combined with white light interferometry forimproving depth sensitivity. The interfering pupil images from theobject and reference branches are imaged with a Bertrand lens on aCCD camera. For reconstruction of the structure profile, themeasured and simulated pupil images in each z-position of thescanned reference mirror are compared until the best match isfound. This system has been employed to measure a silicon gratingwith a nominal critical dimension of 200 nm.

Fig. 37 demonstrates coherent Fourier scatterometry (CFS),which can simultaneously illuminate and measure the sample overa broad range of incident and reflected (diffracted) angles by usinga high-NA objective [123]. All radial and azimuthal angles can bemeasured within one second. Owing to coherent illumination, themeasurement spot on the sample surface can be reduced to lessthan 1 mm, which is significantly reduced from the 50 mm spot inconventional optical scatterometry, resulting in an improvement

over a broadband of 200–1000 nm. An inverse diffraction probis then solved for finding an optimal structural profile whsimulated spectral Mueller matrices can best match the measudata.

Fast evaluation of nano- and microstructures over a large arealso an important task. Fig. 39 illustrates the fast evaluation oand Y pitch deviations and the Z out-of-flatness of a diffracgrating over the field of view of the Fizeau interferometer grethan 100 mm in diameter [66]. The out-of-flatness of the gratinfirst evaluated from the wavefront of the zero-order diffracbeam from the grating. The grating is then tilted to align the axethe first-order diffracted beams with those of the interferometethat the X- and Y-directional pitch deviations of the grating can

Fig. 36. Super-resolution method using infrared standing evanescent waves [195].

Fig. 37. Coherent Fourier scatterometer [123].

Fig. 38. Dual rotating-compensator Mueller matrix scatterometer [143].

Fig. 39. Fast evaluation of large area grating structures [66].

in the lateral resolution. In addition to accurate reconstruction ofthe grating parameters, CFS also has a strong potential as asubnanometer wafer alignment tool as the scattered signal ishighly sensitive to the grating position as well. A 1 nm accuracy inlateral positioning has been demonstrated corresponding to 0.08%of the pitch of the grating used in the experiment.

A new scatterometry technique called Mueller matrix scattero-metry was developed for more sensitive nanostructure recon-struction based on the rich information contained in the collected4 � 4 Mueller matrices [143]. As shown in Fig. 38, a dual rotating-compensator layout is adopted to collect the full Mueller matrices

Page 17: Nanomanufacturing—Perspective and applications€¦ · down and bottom-up approaches, including additive, subtractive, and replication/mass conservation processes. These include

evalThe

5. E

Nand

subtchinnanscalvaponanmanchar

� Stinde99gr21

� Hivatubarara

� Looninut20

OabovevenGutonanthan

Tdivirefeconsplasenerenermenrem

Znanprocpara

Fig. 4

F.Z. Fang et al. / CIRP Annals - Manufacturing Technology 66 (2017) 683–705698

uated from the wavefronts of the first-order diffracted beams.measurement takes only several minutes.

nergy consumption in nanomaufacturing

anomanufacturing methods can be classified into top-downbottom-up approaches [239]. Top-down approaches includeractive processes such as lithography and etching, nanoma-ing, and nanoimprinting. Bottom-up approaches achieveoscale dimensions through assembly of material at the atomice by chemical reactions or physical processes, e.g., physicalr deposition (PVD), chemical vapor deposition (CVD), andocontact printing (nCP). Compared to conventionalufacturing processes, nanomanufacturing has several uniqueacteristics [183]:

rict purity requirements and low tolerance for contamination starting materials: For example, silicon used in semiconductorvices must meet a “seven nines” standard of purity, i.e.,.99999%. The energy requirement to convert metallurgical-ade silicon to electronic-grade silicon ranges from 110 to5 kWh per kg [172].gh environmental requirements: For example, ultrahighcuum and high preheating, operation and stand-by tempera-res are required in most thin film deposition techniques. These pressure requirement for molecular beam epitaxy (MBE)nges between 10�9 and 10�11 torr and operation temperaturesnge from 300 to 1000 �C.w process yields or material efficiency: In many processes,ly a small percentage of the starting materials are ultimatelycorporated into the final products. The material or precursorilization efficiencies range from 35% to 10% for MBE and 1% to% for metal organic CVD [103].

wing to the characteristics of nanomanufacturing presentede, the energy intensities in nanomanufacturing processes are greater than those in conventional manufacturing processes.wski et al. found that the specific energy requirements ofomanufacturing are typically 3–5 orders of magnitude greater

those of conventional manufacturing processes (Fig. 40) [83].he energy consumed in nanomanufacturing can be generallyded into direct and indirect energy. Direct energy requirementsr to the energy applied to the process, which includes energyumed by pressure/temperature control; photon, ion, orma generation; precision metrology; and mechanics. Directgy can be directly measured from the equipment. Indirectgy is the energy consumed by the manufacturing environ-t, e.g., the energy required by clean room recirculating fans foroving contaminants from the work environment air.hang et al. performed a qualitative assessment of variousomanufacturing processes (Fig. 41) [237]. It is inferred that theess requirements might depend on the material or processmeters. For example, imprint lithography can use either heat

or UV curing, which affects the demands of the process for photongeneration and direct and indirect temperature control. It can befurther noticed that top-down and bottom-up approaches withsimilar mechanisms have similar process requirements andtherefore similar energy demands.

Yuan and Zhang investigated the energy consumption of atomiclayer deposition (ALD). They found that energy is mainly consumedby system heating, process pumping, and experimental control(Fig. 42) [234].

Krishnan et al. developed a bottom-up within-fab lifecycleinventory (LCI) for semiconductor fabrication energy consumption(Fig. 43). They found that about half of the energy is consumed bydevice fabrication itself (7100 MJ/wafer), followed by silicon waferproduction (2900 MJ/wafer). It is noted that equipment infra-structure and chemical manufacturing represent a non-negligiblefraction of the total energy consumption. In addition, specificenergy increases with decreasing process rate (increasing preci-sion) (Fig. 44) [120].

Fig. 41. Process requirements of manufacturing technologies [237].

Fig. 42. ALD process energy consumption [234].

0. Energy requirements of manufacturing processes at different scales [83].Fig. 43. Lifecycle inventory of energy consumption in semiconductor fabrication[120].

Page 18: Nanomanufacturing—Perspective and applications€¦ · down and bottom-up approaches, including additive, subtractive, and replication/mass conservation processes. These include

ells

ithreding.

ofto-andtingthergeAn-

theatesonedesing.werid-.3%

ding

F.Z. Fang et al. / CIRP Annals - Manufacturing Technology 66 (2017) 683–705 699

6. Applications

The components manufactured by nanomanufacturing arewidely employed in photonics, energy, bionics, microelectronics,bioengineering, and other fields. The components introduced inSection 1 correspond to the fields of energy, microelectronics, andoptics.

Photolithographic objective lenses in the optical field requirenanometric or even greater accuracy. Moreover, the finish of aspace telescope must have a roughness less than 4.4 Å to detectultraviolet spectra, as mentioned above. Relatively extremeultraviolet (EUV) photolithography needs a higher accuracy ofless than 1.1 Å because of the 13.5 nm working wavelength[78,205]. For low- and mid-spatial frequencies, visible lightinterferometry is used. However, EUV mainly focuses on mid-and high-spatial frequencies errors, and mid-spatial roughness hasbeen studied effectively with EUV scatterometry. In the EUVlithography (EUVL) system, reflective optical elements are used. Toachieve a high reflectivity, multilayers (ML) are coated on theprojection lens. For example, normal-incidence reflectivity of theMo/Si ML system can reach 70% at �13.5 nm [12]. To improve theimaging quality, allowable figure and finish errors must becontrolled. For a four mirror EUVL system, the figure error, mid-and high-spatial frequency roughness should be less than 0.25,0.20 and 0.10 nm rms respectively. Based on Marechal’s criterion,wavefront error must be less than 0.96 nm rms for a 13.4 nmoperating wavelength [196]. A multi-step polishing process is thebest approach to achieve an ultrasmooth and damage-free surfacewith the aid of EUV interferometry to achieve final performancedegradation [157].

Energy applications focus on two aspects: solar energy and LEDuniform illumination. One antireflective nanostructure has beenused to increase LED power, as shown in Fig. 45. Nanostructuresinspired by the structure of a firefly’s lantern cuticle have a curvedsubstrate with a thickness of 110 nm and a feature size of 150 nm. Asingle-step injection molding process can produce this bio-inspired plastic lens, thereby decreasing the cost of efficient LEDs[111].

For solar energy, components manufactured by nanomanufac-

results confirmed a 175% increase in the efficiency of solar c[32].

The examples above show the approach to cover solar cells wa nanostructure, whereas some researchers have considechanging the material structure of solar cells by nanostructurA device has been designed to investigate the effectnanostructured back reflectors on quantum efficiency in phovoltaic devices. The relationship between nanostructure size

photocurrent generation was systematically studied by fabricananostructures via a wafer-scale self-assembly technique. In

infrared region, the nanostructured back reflector showed a laincrease in photocurrent with a modified feature scale [98].

other flexible solar cell with a multi-layer structure allocatesmicropyramid-structure at the inner layer. Flexible Si substrwith micropyramid structured surfaces were manufactured on

side by an anisotropic etching process, and gold grid electrowere deposited on the organic layer by magnetron sputterCompared with planar flexible hybrid solar cells with a poconversion efficiency of 4%, solar cells with micropyramstructured Si light absorbers showed a higher efficiency of 6[137].

Fig. 44. Specific processing energy vs. process rate in semiconductor fabrication[120].

Fig. 45. Concept of surface defects measurement method using infrared stanevanescent waves [111].

Fig. 46. Nanostructure on solar cell achieved light trapping more effectively. (a) a100-nm-thick geometrically patterned dielectric layer, (b) a nanohole array ofthickness 30 nm with 175 nm holes [32,209].

turing aim to improve the efficiency of solar cells. The light-trapping strategy is an effective approach to increase the efficiencyof solar cells and decrease their cost by using one layer withnanostructures. One light-trapping structure with a geometricallypatterned dielectric layer of thickness 100 nm has been designedon an organic solar cell to capture and maximize the amount oflight transmitted into the cell, as shown in Fig. 46(a) [209]. Anothernanostructure “sandwich” of metal and plastic has been charac-terized with a nanohole array of thickness 30 nm and 175 nmholes, as shown in Fig. 46(b). The nanohole array was fabricated bynanoimprint lithography for depositing a Au layer. Experimental

Page 19: Nanomanufacturing—Perspective and applications€¦ · down and bottom-up approaches, including additive, subtractive, and replication/mass conservation processes. These include

NnanbiominspexhiartifigecksmopattsurfterfeformRef.

Bby aapplbic aby nself-shipapplflowmetA lostrucreaby psupenamand

elecpropinorwhimat[130

Afuncfieldin that thbendhemthe

Adesi

Fig.

devic

F.Z. Fang et al. / CIRP Annals - Manufacturing Technology 66 (2017) 683–705700

ature endows an organism with specific abilities throughostructures. Scientists or engineers can develop technological,edical, or industrial processes or products by drawingiration from nature. For example, the leaf of a lotus plantbits superhydrophobicity, which can be simulated by ancial laser-structured silanized silicon surface. The toes ofo lizards adhere to various surfaces, enabling geckos to climboth and vertical surfaces because of the nano- or micropillarerns. NIL has been commercially exploited to structureaces for applications such as optical, labeling, and anticoun-iting. An example of the application of NIL for structuring free

mold surfaces for subsequent injection molding is found in[159].io-inspired design features, often identified and characterizedcademic research, have been realized through the commercialication of nanomanufacturing technologies. Superhydropho-nd self-cleaning structures are important examples inspiredature, which are of interest for various applications includingcleaning windows, windshields, exterior paints for buildings,

navigation, utensils, roof tiles, textiles, solar panels, andications requiring antifouling and a reduction in drag in fluid, e.g., in micro–nanochannels [16]. Various manufacturinghods are used to manufacture various self-cleaning structures.w-cost molding process was proposed to replicate micro-ctures with self-assembly of wax platelets and tubules tote different nanostructures, whose mold cores were fabricatedhotolithography for the cylindrical array [44]. One complexrhydrophobic structure including three different size scales,ely honeycomb-like microstructures, dendritic substructures,nanoparticles (Fig. 47), was fabricated by deposition andtrolytic machining [211]. A colloidal self-assembly process wasosed to provide an effective damp-proof protection ofganic radome materials by adding a superhydrophobic coating,ch has potential engineering applications in inorganic porouserials requiring damp-proof protection and high durability].nimal visual systems are highly adaptable and preciselytional as they comprise compound eyes (ommatidia). A large of view (FOV) cannot be achieved owing to the planar sensore simulation of compound eyes because of the large distortione edge. Fig. 48(a) shows a compound eye lens consisting ofing microlens and photodiodes, which is formed as aisphere capturing a 160� field of view with no distortion atedges [190].nother curved artificial compound eye (CurvACE) wasgned and manufactured consisting of three materially and

functionally different layers imitating the structure of naturalommatidia. It included a microlens array, neuromorphic photode-tector array, and flexible printed circuit board. CurvACE achieves anFOV of 180� � 60�, as shown in Fig. 48(b). Three planar layers areseparately produced with thickness less than 1 mm and thenassembled and bended. A microlens array is machined byultraprecision cutting or dicing and the photodetector array ismachined by wafer-lever lithography, which produces CurvACE ina batch [64].

Compound eyes can also be used as antireflection coating whenthere are subwavelength antireflective structural elements thathave evolved to enhance the function of its visual system. For thefabrication of silicon nanostructure arrays, several effectivestrategies have been adopted, including vapor-phase growth ordeposition, plasma etching or dry etching, and wet etching. Theseforms of surface geometric patterning have been applied toantireflective glass by sol–gel methods [208]. Other examples ofbio-inspired nanostructures have been surveyed in a CIRP keynotepaper [147].

Nanomanufacturing has significantly contributed to progress inboth medical diagnostics and biotechnologies in recent years,especially in the fields of lab-on-a-chip (LOC), nanomedicine, andbiomedical devices. LOC aims to manufacture entire laboratory-scale analysis workflows onto a single compact chip, which isalways fabricated by lithography as micro- and nano-electrome-chanical systems (MEMS, NEMS) [42]. An example of LOCapplication and related process control is reported in Ref.[20]. Here, polymer LOCs in a cyclic olefin copolymer withchannels of width 500 nm and depth 60 nm were produced using aprocess chain consisting of e-beam lithography, nickel electro-plating, and subsequent injection molding. Microfluidics issignificant in achieving this goal of LOC [45]. Nanostructures inmedical devices can always be manufactured as sensors forobserving or diagnosing human parameters. Fig. 49 shows thefabrication process of one wearable and highly sensitive pressuresensor with ultrathin gold nanowires [79].

Well-defined polymeric micro- or nanostructure materials have

Fig. 48. (a) Curved compound eye comprising bending microlens and photodiodes.(b) CurvACE including three different layers [64,190].

47. Nanostructured back reflectors on quantum efficiency in photovoltaices [211].

attracted considerable attention because of their versatile applica-tions in the fields of biotechnology, organic electronics, andmedicine. Conjugated polymeric micro–nanostructures haveimportant potential applications in organic field-effect transistors,photovoltaic cells, optical data storage, and chemical sensors[11]. Micro–nanostructures have also been employed in manyother fields and more composite structures enhance theapplication performance. For example, surface-enhanced Ramanscattering (SERS) is a surface-sensitive technique that enhancesRaman scattering by molecules adsorbed on nanostructures orrough metal surfaces. The enhancement factor can be as much

Page 20: Nanomanufacturing—Perspective and applications€¦ · down and bottom-up approaches, including additive, subtractive, and replication/mass conservation processes. These include

res,and

nu-me-mictheonsrorselds

rchale,ithted-ups ofure

nts putory.ringasenalthat

odsThepedter-and

onr offor-uld

nal

onic

F.Z. Fang et al. / CIRP Annals - Manufacturing Technology 66 (2017) 683–705 701

as 1010–1011, which means the technique may detect singlemolecules [17,224]. For SERS substrates, some precise structuringmethods such as ion beam or electron beam lithography have beenwidely used for the fabrication of periodic metallic nanostructures,which can result in extremely strong electromagnetic fieldenhancements. One SERS substrate with a nanopillar-on-pyramidstructure has been proposed to improve its enhancement ability, asshown in Fig. 50. The pyramidal structures with a side length of 3–4 mm were formed after the wet etching process. The nanopillars ofdiameter about 150 nm and height 400 nm were fabricated byisland lithography. The nanopillar-on-pyramid structure providesa large surface area and multiple reflections for SERS enhancement,which was about three orders of magnitude greater than that of theplanar substrate [22].

Similar hierarchical structures have been employed in siliconsolar cells to reduce optical reflectivity. The micro–nano structurecontained pyramids with side lengths of about 4–8 mm andnanopillar arrays with average diameters of 100–500 nm andheights of 500 nm to 1.5 mm. A pyramid and a nanopillar micro–

also used to manufacture the micro–nano hierarchical structuwhich can be employed in surface engineering for wettability

friction adjustments [44].

7. Conclusions and perspective

This study mainly surveyed the fundamentals of nanomafacturing, nanomachining/fabrication technologies, and nanotrology technologies. Numerous industrial and acadeapplications have been implemented that have benefited

rapid development of nanomanufacturing. Optical applicatimainly concentrate on the nanometric accuracy for large mirowing to the ultraviolet wavelength. Applications in other fi

mainly rely on the special features of the nanostructure.From the perspective of nanomanufacturing, future resea

and development should be conducted at an even smaller scthat is, atomic and close-to-atomic manufacturing (ACSM). Wsignificant demand from the society and industry, it is suggesthat highly efficient manufacturing with reduced followprocesses should be the first priority to avoid the side effectthe manufacturing process, alignment, and encapsulation. Futstudies should focus on the following aspects:

� Nanocutting has significant potential for further advanceme[51,56–58]. As it is still in the early stages, researchers mustmore efforts into systematically developing nanocutting theACSM is an important trend in developing manufactutechnology [52,53]. As it will play a vital role in the next phof societal advancements, it is worthwhile to develop natioand international programs to work on the relevant fields so

a blueprint will emerge.� More efficient and cost-effective nanomanufacturing methshould be developed, especially aimed at mass production.

processes presented in this paper should be further develofor new material applications with different material characistics or process environments, such as harder materials

conventional environments.� Multi-scale or multi-layer structures, such as nanostructuresmicrostructures, have been applied in increasing numbeareas, which will be a trend owing to their excellent permance. The optimal design of multi-functional processes shobe studied systematically.

� Topographic metrology is critically important. More functio

Fig. 50. Schematic of textured SERS structures: (a) pyramid, (b) nanopillars, (c)nanopillar-on-pyramid, and (d) the sideview in the y–z plane [22].

Fig. 51. Hybrid micro/nano-textured surfaces machined by rotary ultrasdiamond cutting [223].

Fig. 49. (a) Schematic of flexible sensor fabrication. (b) Photograph showing thebendability of the sensor. (c) Scanning electron microscopy image of themorphology of gold nanowires coated tissue fibers (scale bar, 100 mm) [79].

to forrch.pu-

t in the

nano surface texture of silicon nanopillars were fabricated by dryetching with a mask of cesium chloride (CsCl) islands originallyfrom self-assembly lithography and ICP etching method [141]. Themechanical machining methods were also used to manufacture thehierarchical structures, as mentioned in Section 3.1. A rotaryultrasonic texturing (RUT) technique was developed to manufac-ture hybrid periodic micro–nanotextures on flat surfaces [223]. Forexample, the micro–nano-scale sinusoidal grooves with 50 nmdepth and 2.5 mm wavelength were manufactured on lineargrooves by this process for self-cleaning, antimicrobial, and rapidosseointegration, as shown in Fig. 51. Multi-step anodization was

or high-performance measurement should be focused oncomprehensively evaluate the manufacturing quality not onlythe application requirements but also for fundamental resea

� The potential demands of molecular circuits, quantum comters, and healthcare is also worthy of consideration.

Acknowledgements

The authors thank Wang JS, Li ZX, Zhu LL for their supporsearching relevant publications. The authors also acknowledge

Page 21: Nanomanufacturing—Perspective and applications€¦ · down and bottom-up approaches, including additive, subtractive, and replication/mass conservation processes. These include

supp6163Devprojthe

Refe

[1][2]

[3]

[4]

[5]

[6]

[7]

[8]

[9]

[10]

[11]

[12][13]

[14]

[15]

[16]

[17]

[18]

[19]

[20]

[21]

[22]

[23]

[24]

[25]

[26]

[27]

F.Z. Fang et al. / CIRP Annals - Manufacturing Technology 66 (2017) 683–705702

orts of the National Natural Science Foundation (Grant Nos.5008, 51320105009 & 91423101), the National Key Research &elopment Program (Grant No. 2016YFB1102200), and the ‘111’ect by the State Administration of Foreign Experts Affairs andMinistry of Education of China (Grant No. B07014).

rences

2 Researchers Spell ‘I.B.M.,’ Atom by Atom, (1990), The New York Times. Aida H, Kim SW, Ikejiri K, Doi T, Yamazaki T, Seshimo K, Koyama K, Takeda H,Aota N (2015) Precise Mechanical Polishing of Brittle Materials withFree Diamond Abrasives Dispersed in Micro–Nano-bubble Water. PrecisionEngineering 40:81–86.

Aida H, Takeda H, Koyama K, Katakura H, Sunakawa K (2011) ChemicalMechanical Polishing of Gallium Nitride with Colloidal Silica. Journal of TheElectrochemical Society 158(12):H1206–H1212.

Alami J, Persson POA, Music D, Gudmundsson JT, Bohlmark J, Helmersson U(2005) Ion-assisted Physical Vapor Deposition for Enhanced Film Propertieson Non-flat Surfaces. Journal of Vacuum Science & Technology A: VacuumSurfaces and Films 23(2):278–280.

Altan T, Lilly BW, Kruth JP, König W, Tönshoff HK, Luttervelt CAV, et al (1993)Advanced Techniques for Die and Mold Manufacturing. CIRP Annals—Manufacturing Technology 42(2):707–716.

Alting L, Kimura F, Hansen HN, Bissacco G (2003) Micro Engineering. CIRPAnnals—Manufacturing Technology 52(2):635–657.

Altissimo M (2010) E-beam Lithography for Micro-/Nanofabrication.Biomicrofluidics 4(2):1033.

Areshkin DA, White CT (2007) Building Blocks for Integrated GrapheneCircuits. Nano Letters 7(7):3253–3259.

Arif M, Zhang X, Rahman M, Kumar S (2013) A Predictive Model of the CriticalUndeformed Chip Thickness for Ductile–Brittle Transition in Nano-machiningof Brittle Materials. International Journal of Machine Tools & Manufacture 64(4):114–122.

Attota R, Germer TA, Silver RM (2008) Through-focus Scanning-optical-microscope Imaging Method for Nanoscale Dimensional Analysis. OpticsLetters 33(17):1990.

Bai W, Wu C, Shang X, Liu X, Chen K, Lin J (2016) Self-assembly ofPoly(p-phenylene)-based Flower-like 3D Micro-nanostructures. Reactive &Functional Polymers 101:75–81.

Bakshi V (2009) EUV Lithography, Spie Press. Bansal RK, Kubis A, Hull R, Fitzgerald JM (2006) High-resolution Three-dimensional Reconstruction: a Combined Scanning Electron Microscopeand Focused Ion-beam Approach. Journal of Vacuum Science & TechnologyB Microelectronics and Nanometer Structures: Processing Measurement andPhenomena 24(2):554–561.

Beex LAA, Peerlings RHJ, Geers MGD (2014) Central Summation in theQuasicontinuum Method. Journal of the Mechanics & Physics of Solids 70(1):242–261.

Betancourt T, Brannonpeppas L (2006) Micro- and Nanofabrication Methodsin Nanotechnological Medical and Pharmaceutical Devices. InternationalJournal of Nanomedicine 1(4):483–495.

Bhushan B, Yong CJ, Koch K (2009) Micro-, Nano- and Hierarchical Structuresfor Superhydrophobicity, Self-cleaning and Low Adhesion. PhilosophicalTransactions of the Royal Society of London A: Mathematical Physical andEngineering Sciences 367(1894):1631.

Blackie EJ, Ru ECL, Etchegoin PG (2009) Single-molecule Surface-enhancedRaman Spectroscopy of Nonresonant Molecules. Journal of the AmericanChemical Society 131(40):14466–14472.

Blackley WS, Scattergood RO (1991) Ductile-regime Machining Model forDiamond Turning of Brittle Materials. Precision Engineering 13(2):95–103.

Cai MB, Li XP, Rahman M, Tay AAO (2007) Crack Initiation in Relation to theTool Edge Radius and Cutting Conditions in Nanoscale Cutting of Silicon.International Journal of Machine Tools & Manufacture 47(3):562–569.

Calaon M (2014) Process Chain Validation in Micro and Nano Replication,Department of Mechanical Engineering, Technical University of Denmark .pp. 185.

Cao YY, Takeyasu N, Tanaka T, Duan XM, Kawata S (2009) 3D MetallicNanostructure Fabrication by Surfactant-assisted Multiphoton-inducedReduction. Small 5(10):1144–1148.

Chao BK, Cheng HH, Nien LW, Chen MJ, Nagao T, Li JH, et al (2015) Anti-reflection Textured Structures by Wet Etching and Island Lithography forSurface-enhanced Raman Spectroscopy. Applied Surface Science 357:615–621.

Chen H, Bhuiya AM, Ding Q, Johnson HT, Toussaint Jr KC. (2016) TowardsDo-it-yourself Planar Optical Components Using Plasmon-assisted Etching.

[28] Chen YL, Ito S, Kikuchi H, Kobayashi R, Shimizu Y, Gao W (2016) On-lineQualification of a Micro Probing System for Precision Length Measurement ofMicro-features on Precision Parts. Measurement Science & Technology 27(7):074008.

[29] Cheong WCD, Zhang LC (2000) Molecular Dynamics Simulation of PhaseTransformations in Silicon Monocrystals Due to Nano-indentation.Nanotechnology 11(3):173–180.

[30] Cheong WS, Hong CH, Shin JH, Kim KH, Park NM, You SJ, et al (2015) LowTemperature Fabrication of Indium-tin Oxide Film by Using Ionized PhysicalVapor Deposition Method. Surface & Coatings Technology 266(2):10–13.

[31] Chou SY, Krauss PR (1997) Imprint Lithography with Sub-10 nm Feature Sizeand High Throughput. Microelectronic Engineering 35(1):237–240.

[32] Chou SY, Wei D (2013) Ultrathin, High-efficiency, Broad-band, Omni-acceptance, Organic Solar Cells Enhanced by Plasmonic Cavity with Subwa-velength Hole Array. Optics Express 21(101):A60.

[33] Chou SY, Krauss PR, Renstrom PJ (1996) Imprint Lithography with 25-nano-meter Resolution. Science 272(5258):85–87.

[34] Chu JP, Wijaya H, Wu CW, Tsai TR (2007) Nanoimprint of Gratings on a BulkMetallic Glass. Applied Physics Letters 90(3):253.

[35] Claverley JD, Leach RK (2015) A Review of the Existing Performance Verifica-tion Infrastructure for Micro-CMMs. Precision Engineering 39:1–15.

[36] Cooper CF (2011) George Whitesides: Molecular Self-assembly and theInvention of Soft Lithography. Journal of the Franklin Institute 348(3):544–554.

[37] Corbett J, Mckeown PA, Peggs GN, Whatmore R (2000) Nanotechnology:International Developments and Emerging Products. CIRP Annals—Manufacturing Technology 49(2):523–545.

[38] Danzebrink HU, Koenders L, Wilkening G, Yacoot A, Kunzmann H (2006)Advances in Scanning Force Microscopy for Dimensional Metrology. CIRPAnnals—Manufacturing Technology 55(2):841–878.

[39] Dasgupta NP, Meng X, Elam JW, Martinson AB (2015) Atomic Layer Depositionof Metal Sulfide Materials. Accounts of Chemical Research 48(2):341–348.

[40] Davis ME, Zhuo C, Dong MS (2008) Nanoparticle Therapeutics: An EmergingTreatment Modality for Cancer. Nature Reviews Drug Discovery 7(9):771.

[41] Denkena B, Biermann D (2014) Cutting Edge Geometries. CIRP Annals—Manufacturing Technology 63(2):631–653.

[42] Despont M, Brugger J, Drechsler U, Dürig U, Häberle W, Lutwyche M, et al(2000) Vlsi-nems Chip for Parallel Afm Data Storage. Sensors & Actuators APhysical 80(2):100–107.

[43] Di CS, Gautrot JE (2016) Cell Sensing of Physical Properties at the Nanoscale:Mechanisms and Control of Cell Adhesion and Phenotype. Acta Biomaterialia30:26.

[44] Ding GQ, Yang R, Ding JN, Yuan NY, Shen WZ (2010) Microscale Steps andMicro–Nano Combined Structures by Anodizing Aluminum. Applied SurfaceScience 256(21):6279–6283.

[45] Duffy DC, Mcdonald JC, Schueller OJ, Whitesides GM (1998) Rapid Prototyp-ing of Microfluidic Systems in Poly(dimethylsiloxane). Analytical Chemistry 70(23):4974–4984.

[46] Dumas P, Golini D, Tricard M (2005) Improvement of Figure and Finish ofDiamond Turned Surfaces with Magneto-Rheological Finishing (MRF). Pro-ceedings of SPIE 5786:296–304.

[47] Dumond JJ, Mahabadi KA, Yee YS, Tan C, Fuh JY, Lee HP, et al (2012) HighResolution UV Roll-to-roll Nanoimprinting of Resin Moulds and SubsequentReplication via Thermal Nanoimprint Lithography. Nanotechnology 23(48):485310.

[48] Eichen Y, Braun E, Sivan U, Ben-Yoseph G (2010) Self-assembly of Nanoelec-tronic Components and Circuits Using Biological Templates. Acta Polymerica49(10–11):663–670.

[49] Erhart P, Albe K (2005) Analytical Potential for Atomistic Simulations ofSilicon, Carbon, and Silicon Carbide. Physical Review B 71. 035211-(1-14).

[50] Fang FZ, Lai M (2014) Nanocutting Techonology and Progress. Science China10:1052–1070. (in Chinese).

[51] Fang FZ, Venkatesh VC (1998) Achieving Threshold Barrier of 1 nm RoughnessValue of Silicon Surface by Diamond Turning. Chinese Journal of MechanicalEngineering 11(1):6–10.

[52] Fang FZ (2015) Suggestions to Promoting the Implements of Manufacturing 3.0,People’s Daily. 30th June. (in Chinese).

[53] Fang FZ (2016) Atomic and Close-to-atomic Scale Manufacturing—A Trend inManufacturing Development. Frontiers of Mechanical Engineering 11(4):325–327.

[54] Fang FZ, Chen YH, Zhang XD, Hu XT, Zhang GX (2011) Nanometric Cutting ofSingle Crystal Silicon Surfaces Modified by Ion Implantation. CIRP Annals—Manufacturing Technology 60(1):527–530.

[55] Fang FZ, Liu B, Xu Z (2015) Nanometric Cutting in a Scanning ElectronMicroscope. Precision Engineering 41:145–152.

[56] Fang FZ, Venkatesh VC, Zhang GX (2002) Diamond Turning of Soft Semi-conductors to Obtain Nanometric Mirror Surfaces. The International Journal ofAdvanced Manufacturing Technology 19(9):637–641.

Nature Communications 7:10468. Chen J, Hou Y, Du J, Zhu J, Gao F (2016) Fabrication and Microanalysis of 3D-shell-like Chiral Nanostructure Based on Micro-sphere Assembled Technolo-gy. Microelectronic Engineering 153(C):137–141.

Chen J, Shi J, Cattoni A, Decanini D, Liu Z, Chen Y, et al (2010) A VersatilePattern Inversion Process Based on Thermal and Soft UV NanoimprintLithography Techniques. Microelectronic Engineering 87(5–8):899–903.

Chen M, Phang IY, Lee MR, Yang JKW, Ling XY (2013) Layer-by-layer Assemblyof Ag Nanowires into 3D Woodpile-like Structures to Achieve High DensityHot Spots for Surface-enhanced Raman Scattering. Langmuir 29(23):7061–7069.

Chen YC, Chu JP, Jang JSC, Wu CW (2012) Thermoplastic Deformation andMicro/nano-replication of an Au-based Bulk Metallic Glass in the SupercooledLiquid Region. Materials Science & Engineering A 556(9):488–493.

[57] Fang FZ, Wu H, Liu YC (2005) Modelling and Experimental Investigation onNanometric Cutting of Monocrystalline Silicon. International Journal of Ma-chine Tools & Manufacture 45(15):1681–1686.

[58] Fang FZ, Wu H, Zhou W, Hu XT (2007) A Study on Mechanism of Nano-cuttingSingle Crystal Silicon. Journal of Materials Processing Technology 184(1):407–410.

[59] Fang FZ, Zhang XD, Weckenmann A, Zhang GX, Evans C (2013) Manufacturingand Measurement of Freeform Optics. CIRP Annals—Manufacturing Technology62(2):823–846.

[60] Fang J, Du S, Lebedkin S, Li Z, Kruk R, Kappes M, et al (2010) GoldMesostructures with Tailored Surface Topography and Their Self-assemblyArrays for Surface-enhanced Raman Spectroscopy. Nano Letters 10(12):5006–5013.

Page 22: Nanomanufacturing—Perspective and applications€¦ · down and bottom-up approaches, including additive, subtractive, and replication/mass conservation processes. These include

aces.

ional

singrsity

en-rnal

uredells.

losic 125

icro-

n inlogy

016)sing.s forystal

andangerties

rveyicro-

nmond-

in aent.

licon.onic479.eta-

callyeed-

n of-axis

n byplied

entctivers A:

on ofal of

015)CIRP

andneer-

ano-

m of.bridiron-

disks 36

F.Z. Fang et al. / CIRP Annals - Manufacturing Technology 66 (2017) 683–705 703

[61] Fantoni G, Santochi M, Dini G, Tracht K, Scholz-Reiter B, Fleischer J, et al (2014)Grasping Devices and Methods in Automated Production Processes. CIRPAnnals—Manufacturing Technology 63(2):679–701.

[62] Feldman M (2013) Nanolithography: The Art of Fabricating Nanoelectronic andNanophotonic Devices and Systems, Woodhead Pub..

[63] Feynman RP (1960) There's Plenty of Room at the Bottom. Engineering andScience 23(5):22–36.

[64] Floreano D, Pericetcamara R, Viollet S, Ruffier F, Brückner A, Leitel R, et al(2013) Miniature Curved Artificial Compound Eyes. Proceedings of the Na-tional Academy of Sciences 110(23):9267.

[65] Gao L, Shigeta K, Vazquezguardado A, Progler CJ, Bogart GR, Rogers JA, et al(2014) Nanoimprinting Techniques for Large-area Three-dimensional Nega-tive Index Metamaterials with Operation in the Visible and Telecom Bands.Acs Nano 8(6):5535–5542.

[66] Gao W, Kimura A (2010) A Fast Evaluation Method for Pitch Deviation andOut-of-flatness of a Planar Scale Grating. CIRP Annals—Manufacturing Tech-nology 59(1):505–508.

[67] Gao W (2010) Precision Nanometrology: Sensors and Measuring Systems forNanomanufacturing, Springer, London, UK.

[68] Gao W, Aoki J, Ju BF, Kiyono S (2007) Surface Profile Measurement of aSinusoidal Grid Using an Atomic Force Microscope on a Diamond TurningMachine. Precision Engineering 31(3):304–309.

[69] Gao W, Asai T, Arai Y (2009) Precision and Fast Measurement of 3D CuttingEdge Profiles of Single Point Diamond Micro-tools. CIRP Annals—Manufactur-ing Technology 58(1):451–454.

[70] Gao W, Goto S, Hosobuchi K, Ito S, Shimizu Y (2012) A Noncontact ScanningElectrostatic Force Microscope for Surface Profile Measurement. CIRP An-nals—Manufacturing Technology 61(1):471–474.

[71] Gao W, Kim SW, Bosse H, Haitjema H, Chen YL, Lu XD, et al (2015) Measure-ment Technologies for Precision Positioning. CIRP Annals—ManufacturingTechnology 64(2):773–796.

[72] Gao W, Motoki T, Kiyono S (2006) Nanometer Edge Profile Measurement ofDiamond Cutting Tools by Atomic Force Microscope with Optical AlignmentSensor. Precision Engineering 30(4):396–405.

[73] Gates BD, Xu Q, Stewart M, Ryan D, Willson CG, Whitesides GM (2005) NewApproaches to Nanofabrication: Molding, Printing, and Other Techniques.Chemical Reviews 105(4):1171–1196.

[74] Giang DTH, Duc NH, Agnus G, Maroutian T, Lecoeur P (2016) Fabrication andCharacterization of PZT String Based Mems Devices. Journal of Science Ad-vanced Materials & Devices 1(2):214–219.

[75] Goel S, Luo X, Reuben RL (2012) Molecular Dynamics Simulation Model forthe Quantitative Assessment of Tool Wear During Single Point DiamondTurning of Cubic Silicon Carbide. Computational Materials Science 51(1):402–408.

[76] Goel S, Luo X, Reuben RL (2013) Wear Mechanism of Diamond Tools AgainstSingle Crystal Silicon in Single Point Diamond Turning Process. TribologyInternational 57(57):272–281.

[77] Goel S, Luo X, Reuben RL, Pen H (2012) Influence of Temperature and CrystalOrientation on Tool Wear During Single Point Diamond Turning of Silicon.Wear 284–285(4):65–72.

[78] Goldberg KA, Naulleau PP, Rekawa SB, Gullikson EM, Taylor JS, SommargrenGE, et al (2005) Ultra-high-accuracy Optical Testing: Creating Diffraction-limited Short-wavelength Optical Systems. Proceedings of SPIE 5900:114–123.

[79] Gong S, Schwalb W, Wang Y, Chen Y, Tang Y, Si J, et al (2013) A Wearable andHighly Sensitive Pressure Sensor with Ultrathin Gold Nanowires. NatureCommunications 5(2):3132.

[80] Goto S, Hosobuchi K, Gao W (2011) An Ultra-precision Scanning TunnelingMicroscope Z-scanner for Surface Profile Measurement of Large AmplitudeMicro-structures. Measurement Science & Technology 22(8):085101.

[81] Gray PR, Hurst PJ, Lewis SH, Meyer RG (2008) Analysis and Design of AnalogIntegrated Circuits, 5th Edition International Student Version. Best Practice &Research Clinical Gastroenterology 22(4):617–624.

[82] Grishina DA, Harteveld CA, Woldering LA, Vos WL (2015) Method for Makinga Single-step Etch Mask for 3D Monolithic Nanostructures. Nanotechnology26(50):505302.

[83] Gutowski T, Dahmus J, Thiriez A (2006) Electrical Energy Requirements forManufacturing Processes. Energy 2.

[84] Güttinger J, Molitor F, Stampfer C, Schnez S, Jacobsen A, Dröscher S, et al(2012) Transport Through Graphene Quantum Dots. Reports on Progress inPhysics Physical Society 75(12):126502.

[85] Han MY, Brant JC, Kim P (2009) Electron Transport in Disordered GrapheneNanoribbons. Physical Review Letters 104(5):056801.

[86] Han Y, Liu C (2011) Pneumatically Actuated Active Polymer Pen Lithography.Sensors & Actuators A Physical 167(2):433–437.

[87] Hansen HN, Carneiro K, Haitjema H, Chiffre LD (2006) Dimensional Micro andNano Metrology. CIRP Annals—Manufacturing Technology 55(2):721–743.

[88] Hansen HN, Hocken RJ, Tosello G (2011) Replication of Micro and NanoSurface Geometries. CIRP Annals—Manufacturing Technology 60(2):695–714.

[94] Hocken RJ, Chakraborty N, Brown C (2005) Optical Metrology of SurfCIRP Annals—Manufacturing Technology 54(2):169–183.

[95] Hogan WJ, Moses EI, Warner BE, Sorem MS, Soures JM (2001) The NatIgnition Facility. Nuclear Fusion 41(5):567.

[96] Holzer L, Cantoni M (2011) Review of FIB-tomography. Nanofabrication UFocused Ion and Electron Beams: Principles and Applications, Oxford UnivePress.

[97] Holzer L, Indutnyi F, Gasser PH, Münch B, Wegmann M (2004) Three-dimsional Analysis of Porous BaTiO3 Ceramics Using FIB Nanotomography. Jouof Microscopy 216(1):84–95.

[98] Hsu C, Burkhard GF, McGehee MD, Cui Y (2011) Effects of NanostructBack Reflectors on the External Quantum Efficiency in Thin Film Solar CNano Research 4(2):153–158.

[99] Huang J, Kunitake T (2003) Nano-precision Replication of Natural CelluSubstances by Metal Oxides. Journal of the American Chemical Society(39):11834.

[100] Ikawa N, Shimada S, Tanaka H (1992) Minimum Thickness of Cut in Mmachining. Nanotechnology 3(1):6.

[101] Inamura T, Shimada S, Takezawa N, Ikawa N (1999) Crack InitiatioMachining Monocrystalline Silicon. CIRP Annals—Manufacturing Techno48(1):81–84.

[102] Ito S, Chen YL, Shimizu Y, Kikuchi H, Gao W, Takahashi K, et al (2Uncertainty Analysis of Slot Die Coater Gap Width Measurement by Ua Shear Mode Micro-probing System. Precision Engineering 43:525–529

[103] Izumi S, Shirahama H, Kouji Y (2001) Environmental Safety IssueMolecular Beam Epitaxy Platform Growth Technology. Journal of CrGrowth 227–228(81):150–154.

[104] Jäger G, Manske E, Hausotte T, Müller A, Balzer F (2016) NanopositioningNanomeasuring Machine NPMM-200—A New Powerful Tool for Large-rMicro- and Nanotechnology. Surface Topography: Metrology and Prope4:034004.

[105] Jansen H, Gardeniers H, De Boer M, Elwenspoek M, Fluitman J (1996) A Suon the Reactive Ion Etching of Silicon in Microtechnology. Journal of Mmechanics & Microengineering 6(1):14.

[106] Jeon HJ, Lee EH, Yoo HW, Kim KH, Jung HT (2014) Fabrication of Sub-20Nano-gap Structures Through the Elastomeric Nano-stamp Assisted Secary Sputtering Phenomenon. Nanoscale 6(11):5953–5959.

[107] Jia Z, Ito S, Goto S, Hosobuchi K, Shimizu Y, Gao W (2014) Drift ReductionScanning Electrostatic Force Microscope for Surface Profile MeasuremMeasurement Science & Technology 25(9):646–649.

[108] Kailer A, Gogotsi YG, Nickel KG (1997) Phase Transformations of SiCaused by Contact Loading. Journal of Applied Physics 81(7):3057–3063

[109] Kan EC, Liu Z (2000) Directed Self-assembly Process for Nano-electrDevices and Interconnect. Superlattices & Microstructures 27(5–6):473–

[110] Kildishev AV, Boltasseva A, Shalaev VM (2013) Planar Photonics with Msurfaces. Science 339(6125):1232009.

[111] Kim JJ, Lee Y, Kim HG, Choi KJ, Kweon HS, Park S, et al (2012) BiologiInspired LED Lens from Cuticular Nanostructures of Firefly Lantern. Procings of the national academy of sciences 109(46):18674.

[112] Kim S, Chang S, Pak S, Lee KJ, Jeong B, Lee GJ, et al (2015) FabricatioElectroless Nickel Plated Aluminum Freeform Mirror for an Infrared OffTelescope. Applied Optics 54(34):10137.

[113] King JS, Graugnard E, Summers CJ (2006) Photoluminescence ModificatioHigh-order Photonic Bandsin TiO2/ZnS:Mn Multilayer Inverse Opals. ApPhysics Letters 88(8):1010.

[114] Klaassen EH, Petersen K, Noworolski JM, Logan J, Maluf NI, Brown J, StormC, McCullcy W, Kovacs GT (1996) Silicon Fusion Bonding and Deep ReaIon Etching: A New Technology for Microstructures. Sensors and ActuatoPhysical 52(1):132–139.

[115] Kleijn SEF, Yanson AI, Koper MTM (2012) Electrochemical CharacterizatiNano-sized Gold Electrodes Fabricated by Nano-lithography. JournElectroanalytical Chemistry 666(3):19–24.

[116] Klocke F, Soo SL, Karpuschewski B, Webster JA, Novovic D, Elfizy A, et al (2Abrasive Machining of Advanced Aerospace Alloys and Composites.

Annals—Manufacturing Technology 64(2):581–604.[117] Ko K, Song MG, Jeon H, Han J, Bo UY, Koh Y, et al (2016) Characterization

Removal of Polysilicon Residue During Wet Etching. Microelectronic Engiing 149(C):85–91.

[118] Komanduri R, Narulkar R, Raff LM (2004) Monte Carlo Simulation of Nmetric Cutting. Philosophical Magazine 84(11):1155–1183.

[119] Komanduri R, Varghese S, Chandrasekaran N (2010) On the MechanisMaterial Removal at the Nanoscale by Cutting. Wear 269(3–4):224–228

[120] Krishnan N, Boyd S, Somani A, Raoux S, Clark D, Dornfeld D (2008) A HyLife Cycle Inventory of Nano-scale Semiconductor Manufacturing. Envmental Science & Technology 42(8):3069–3075.

[121] Ku JF, Chen QD, Zhang R, Sun HB (2011) Whispering-gallery-mode MicroLasers Produced by Femtosecond Laser Direct Writing. Optics Letter(15):2871–2873.

r the

et alng of678–

CuClsma-gy B

Lipidning.

[89] Hashimoto F, Yamaguchi H, Krajnik P, Wegener K, Chaudhari R, HoffmeisterHW, et al (2016) Abrasive Fine-finishing Technology. CIRP Annals—Manufacturing Technology 65(2):597–620.

[90] Helmersson U, Lattemann M, Bohlmark J, Ehiasarian AP, Gudmundsson JT(2006) Ionized Physical Vapor Deposition (IPVD): A Review of Technology andApplications. Thin Solid Films 513(1–2):1–24.

[91] Hinduja S, Kunieda M (2013) Modelling of ECM and EDM Processes. CIRPAnnals—Manufacturing Technology 62(2):775–797.

[92] Hirai Y, Kanakugi K, Yamaguchi T, Yao K, Kitagawa S, Tanaka Y (2003) FinePattern Fabrication on Glass Surface by Imprint Lithography. MicroelectronicEngineering s 67–68(1):237–244.

[93] Hirata T, Takei Y, Mimura H (2014) Machining Property in Smoothing of SteeplyCurved Surfaces by Elastic Emission Machining. Procedia CIRP 13:198–202.

[122] Kumar G, Desai A, Schroers J (2011) Bulk Metallic Glass: The SmalleBetter. Advanced Materials 23(4):461.

[123] Kumar N, Petrik P, Ramanandan GKP, Gawhary OE, Roy S, Pereira SF,

(2014) Reconstruction of Sub-wavelength Features and Nano-positioniGratings Using Coherent Fourier Scatterometry. Optics Express 22(20):2424688.

[124] Kurisu H, Nagoya K, Yamada N, Yamamoto S (2003) Characterization of

Nanocrystals in SiO2, Matrix Fabricated by Inductively Coupled Plaassisted Sputtering Deposition. Journal of Vacuum Science & TechnoloMicroelectronics & Nanometer Structures 21(5):2169–2173.

[125] Kusi-Appiah AE, Vafai N, Cranfill PJ, Davidson MW, Lenhert S (2012)

Multilayer Microarrays for Invitro, Liposomal Drug Delivery and ScreeBiomaterials 33(16):4187–4194.

Page 23: Nanomanufacturing—Perspective and applications€¦ · down and bottom-up approaches, including additive, subtractive, and replication/mass conservation processes. These include

[126]

[127]

[128]

[129]

[130]

[131]

[132]

[133]

[134]

[135]

[136]

[137]

[138]

[139]

[140]

[141]

[142]

[143]

[144]

[145]

[146]

[147]

[148]

[149]

[150]

[151]

[152]

[153]

[154]

[155]

[156]

[157]

F.Z. Fang et al. / CIRP Annals - Manufacturing Technology 66 (2017) 683–705704

Lai M, Zhang XD, Fang FZ (2012) Study on Critical Rake Angle in NanometricCutting. Applied Physics A 108(4):809–818.

Lai M, Zhang XD, Fang FZ, Wang Y, Feng M, Tian W (2013) Study on Nano-metric Cutting of Germanium by Molecular Dynamics Simulation. NanoscaleResearch Letters 8(1):13.

Laperrire L, Reinhart G (2014) CIRP Encyclopedia of Production Engineering,Springer, Berlin, Heidelberg.

Lee KS, Ran HK, Yang DY, Sang HP (2008) Advances in 3D Nano/Microfabrica-tion Using Two-photon Initiated Polymerization. Progress in Polymer Science33(6):631–681.

Lei Y, Wang Q, Huo J (2014) Fabrication of Durable Superhydrophobic Coat-ings with Hierarchical Structure on Inorganic Radome Materials. CeramicsInternational 40(7):10907–10914.

Leung TP, Lee WB, Lu XM (1998) Diamond Turning of Silicon Substrates inDuctile-regime. Journal of Materials Processing Technology 73(1–3):42–48.

Lewis JA, Gratson GM (2004) Direct Writing in Three Dimensions. MaterialsToday 7(7–8):32–39.

Li B, Zhang Y, Hu J, Li M (2005) Fabricating Protein Nanopatterns on a SingleDNA Molecule with Dip-pen Nanolithography. Ultramicroscopy 105(1–4):312–315.

Li J, Song L, Huang J, Tang Y, Zhu Y, Zuo D (2016) Effect of Alkaline Slurries onNano Machining CaF2 Crystal. Integrated Ferroelectrics 171(1):169–177.

Li N, Wu W, Chou SY (2009) Sub-20-nm Alignment in Nanoimprint Lithogra-phy Using Moire Fringe. Nano letters 6(11):2626.

Li RJ, Fan KC, Huang QX, Zhou H, Gong EM, Xiang M (2015) A Long-stroke 3DContact Scanning Probe for Micro/Nano Coordinate Measuring Machine.Precision Engineering 43:220–229.

Li S, Pei Z, Zhou F, Liu Y, Hu H, Ji S, et al (2015) Flexible Si/PEDOT:PSS HybridSolar Cells. Nano Research 8(10):3141–3149.

Li X, Magnuson CW, Venugopal A, Tromp RM, Hannon JB, Vogel EM, et al(2011) Large-area Graphene Single Crystals Grown by Low-pressure ChemicalVapor Deposition of Methane on Copper. Journal of the American ChemicalSociety 133(9):2816.

Liebrich T, Knapp W (2010) New Concept of a 3D-probing System for Micro-components. CIRP Annals—Manufacturing Technology 59(1):513–516.

Liedert R, Amundsen LK, Hokkanen A, Mäki M, Aittakorpi A, Pakanen M, et al(2011) Disposable Roll-to-roll Hot Embossed Electrophoresis Chip for Detec-tion of Antibiotic Resistance Gene mecA in Bacteria. Lab on a Chip 12(2):333.

Liu J, Ashmkhan M, Dong G, Wang B, Yi F (2013) Fabrication of Micro-nanoSurface Texture by CsCl Lithography with Antireflection and PhotoelectronicProperties for Solar Cells. Solar Energy Materials & Solar Cells 108(6):93–97.

Liu K, Li XP, Liang SY (2007) The Mechanism of Ductile Chip Formation inCutting of Brittle Materials. The International Journal of Advanced Manufactur-ing Technology 33(9):875–884.

Liu S, Chen X, Zhang C (2015) Development of a Broadband Mueller MatrixEllipsometer as a Powerful Tool for Nanostructure Metrology. Thin Solid Films584:176–185.

Lucca DA, Herrmann K, Klopfstein MJ (2010) Nanoindentation: MeasuringMethods and Applications. CIRP Annals—Manufacturing Technology 59(2):803–819.

Mäkelä T, Haatainen T, Ahopelto J (2011) Roll-to-roll Printed Gratings inCellulose Acetate Web Using Novel Nanoimprinting Device. MicroelectronicEngineering 88(8):2045–2047.

Malinauskas M, Zukauskas A, Bickauskaite G, Gadonas R, Juodkazis S (2010)Mechanisms of Three-dimensional Structuring of Photo-polymers by TightlyFocussed Femtosecond Laser Pulses. Optics Express 18(10):10209–10221.

Malshe A, Rajurkar K, Samant A, Hansen HN, Bapat S, Jiang W (2013) Bio-inspired Functional Surfaces for Advanced Applications. CIRP Annals—Manufacturing Technology 62(2):607–628.

Mangipudi KR, Radisch V, Holzer L, Volkert CA (2016) A FIB-nanotomographyMethod for accurate 3D Reconstruction of Open Nanoporous Structures.Ultramicroscopy 163:38–47.

Matsuda Y, Iwaya M, Koyama Y, Shinohara M, Fujiyama H (2004) Effect ofInductively-coupled Plasma Assist on the Crystal Orientation of MagnesiumOxide Thin Films Produced by Reactive Sputtering. Thin Solid Films 457(1):64–68.

Mattox DM (2010) Handbook of Physical Vapor Deposition (PVD) Processing,William Andrew.

McGeough JA, Leu MC, Rajurkar KP, De Silva AKM, Liu Q (2001) ElectroformingProcess and Application to Micro/Macro Manufacturing. CIRP Annals—Manufacturing Technology 50(2):499–514.

Mészáros G, Kronholz S, Karthäuser S, Mayer D, Wandlowski T (2007)Electrochemical Fabrication and Characterization of Nanocontacts and nm-sized Gaps. Applied Physics A 87(3):569–575.

Micic M, Klymyshyn N, And YDS, Lu HP (2003) Finite Element MethodSimulation of the Field Distribution for AFM Tip-enhanced Surface-enhancedRaman Scanning Microscopy. Journal of Physical Chemistry B 107(7):1574–1584.

[158] Nayak BK, Gupta MC (2010) Self-organized Micro/Nano Structures in MetalSurfaces by Ultrafast Laser Irradiation. Optics & Lasers in Engineering 48(10):940–949.

[159] NIL Technology. www.nilt.com.[160] Ninham BW, Nostro PL (2010) Molecular Forces and Self Assembly: In Colloid,

Nano Sciences and Biology, Cambridge University Press.[161] Ollison CD, Brown WD, Malshe AP, Naseem HA, Ang ASS (1999) A Comparison

of Mechanical Lapping versus Chemical-assisted Mechanical Polishing andPlanarization of Chemical Vapor Deposited (CVD) Diamond. Diamond andRelated Materials 8(6):1083–1090.

[162] Overstolz T, Clerc PA, Noell W, Zickar M (2004) A Clean Wafer-scale Chip-release Process without Dicing Based on Vapour Phase Etching. Micro ElectroMechanical Systems 17th IEEE International Conference on MEMS 717–720.

[163] Pan X, Tan CW, Miao J, Kasim J, Shen Z, Xie E (2009) The Stress Analysis of SiMEMS Devices by Micro-Raman Technique. Thin Solid Films 517(17):4905–4908.

[164] Pang SW (1986) Surface Damage on GaAs Induced by Reactive Ion Etching andSputter Etching. Journal of The Electrochemical Society 133(4):784–787.

[165] Parle D, Singh RK, Joshi SS, Ravikumar GVV (2014) Modeling of MicrocrackFormation in Orthogonal Machining. International Journal of Machine Tools &Manufacture 80–81(5):18–29.

[166] Parviz BA, Ryan D, Whitesides GM (2003) Using Self-assembly for theFabrication of Nano-scale Electronic and Photonic Devices. IEEE Transactionson Advanced Packaging 26(3):233–241.

[167] Paz VF, Peterhänsel S, Frenner K, Osten W (2012) Solving the Inverse GratingProblem by White Light Interference Fourier Scatterometry. Light Science &Applications 1(11):e36.

[168] Pease RF, Chou SY (2008) Lithography and Other Patterning Techniques forFuture Electronics. Proceedings of the IEEE 96(2):248–270.

[169] Pei QX, Lu C, Lee HP, Zhang YW (2009) Study of Materials Deformation inNanometric Cutting by Large-scale Molecular Dynamics Simulations. Nano-scale Research Letters 4(5):444.

[170] Perrin MD, Soummer R, Choquet É. N'Diaye M, Levecq O, Lajoie CP, Long C(2014) James Webb Space Telescope Optical Simulation Testbed I: Overviewand First Results. SPIE Astronomical Telescopes Instrumentation 914309.International Society for Optics and Photonics.

[171] Philip J, Hess P, Feygelson T, Butler JE, Chattopadhyay S, Chen KH, et al (2006)Elastic, Mechanical, and Thermal Properties of Nanocrystalline DiamondFilms. Journal of Applied Physics 99(12). 124302-124302-6.

[172] Phylipsen GJM, Alsema EA (1995) Environmental Life-cycle Assessment ofMulticrystalline Silicon Solar Cell Modules, Department of Science, Technologyand Society, Utrecht University.

[173] Pierson HO (1999) Handbook of Chemical Vapour Deposition: Principles, Tech-nology and Applications, William Andrew.

[174] Pimpin A, Srituravanich W (2012) Review on Micro- and NanolithographyTechniques and Their Applications. Engineering Journal 16(1):37–56.

[175] Piner RD, Zhu J, Xu F, Hong SH, Mirkin CA (1999) Dip-pen Nanolithography.Science 283(5402):661–663.

[176] Radisavljevic B, Radenovic A, Brivio J, Giacometti V, Kis A (2011) Single-layerMoS2 Transistors. Nature Nanotechnology 6(3):147–150.

[177] Roy D, Munz M, Colombi P, Bhattacharyya S, Salvetat JP, Cumpson PJ, et al(2007) Directly Writing with Nanoparticles at the Nanoscale Using Dip-penNanolithography. Applied Surface Science 254(5):1394–1398.

[178] Ru C, Luo J, Xie S, Sun Y (2014) A Review of Non-contact Micro- and Nano-printing Technologies. Journal of Micromechanics & Microengineering 24(5):053001.

[179] Saha SK, Culpepper ML (2011) Characterization of the Dip Pen Nanolitho-graphy Process for Nanomanufacturing. Journal of Manufacturing Science &Engineering 133(4):80.

[180] Schift H (2008) NaPa Library of Processes—Nanopatterning and Applications.[181] Schwierz F (2010) Graphene Transistors. Nature Nanotechnology 5(7):487.[182] Sekkal W, Zaoui A, Schmauder S (2005) Nanoindentation Study of the Super-

lattice Hardening Effect at TiC(110)/NbC(110) Interfaces. Applied PhysicsLetters 86(16). 163108-163108-3.

[183] engül H, Theis TL, Ghosh S (2008) Toward Sustainable Nanoproducts. Journalof Industrial Ecology 12(3):329–359.

[184] Shanjani Y, Kang Y, Zarnescu L, Bowden AK, Koh JT, Dai FEK, et al (2016)Endothelial Pattern Formation in Hybrid Constructs of Additive Manufac-tured Porous Rigid Scaffolds and Cell-laden Hydrogels for Orthopedic Appli-cations. Journal of the Mechanical Behavior of Biomedical Materials 65:356.

[185] Shaw MC (2005) Metal Cutting Principles, Oxford university press, New York.[186] Shimada S, Ikawa N, Inamura T, Takezawa N, Ohmori H, Sata T (1995) Brittle-

ductile Transition Phenomena in Microindentation and Micromachining.CIRP Annals—Manufacturing Technology 44(1):523–526.

[187] Shorey A, Kordonski W, Tricard M (2005) Deterministic Precision Finishing ofDomes and Conformal Optics. Proceedings of SPIE—The International Society forOptical Engineering 5786:310–318.

[188] Sommer B, Sonntag J, Ganczarczyk A, Braam D, Prinz G, Lorke A, et al (2015)

Molitor F, GÜttinger J, Stampfer C, Graf D, Ihn T, et al (2007) Local Gating of aGraphene Hall Bar by Graphene Side Gates. Physical Review B CondensedMatter 76(24):4692.

Murakami H, Katsuki A, Sajima T, Suematsu T (2014) Study of a Vibrating FiberProbing System for 3-D Micro-structures: Performance Improvement. Mea-surement Science & Technology 25(9):094010.

National Research Council. Committee to Review the National Nanotechnol-ogy Initiative (2006) A Matter of Size: Triennial Review of the National Nano-technology Initiative.

Naulleau PP, Goldberg KA, Bokor J (2000) Extreme Ultraviolet Carrier-fre-quency Shearing Interferometry of a Lithographic Four-mirror Optical Sys-tem. Journal of Vacuum Science & Technology B Microelectronics & NanometerStructures 18(6):2939–2943.

Electron-beam Induced Nano-etching of Suspended Graphene. ScientificReports 5:7781.

[189] Son SM, Han SL, Ahn JH (2005) Effects of the Friction Coefficient on theMinimum Cutting Thickness in Micro Cutting. International Journal of MachineTools & Manufacture 45(4–5):529–535.

[190] Song YM, Xie Y, Malyarchuk V, Xiao J, Jung I, Choi KJ, et al (2013) DigitalCameras with Designs Inspired by the Arthropod Eye. Nature 497(7447):95–99.

[191] Stillinger FH, Weber TA (1985) Computer Simulation of Local Order inCondensed Phases of Silicon. Physical Review B Condensed Matter 31(8):5262.

[192] Sun J, Luo X, Chang W, Ritchie JM, Chien J, Lee A (2012) Fabrication of PeriodicNanostructures by Single-point Diamond Turning with Focused Ion BeamBuilt Tool Tips. Journal of Micromechanics & Microengineering 22(22):115014.

Page 24: Nanomanufacturing—Perspective and applications€¦ · down and bottom-up approaches, including additive, subtractive, and replication/mass conservation processes. These include

ricals 97

Fib-clear

rrays

xibler-in-

bridOne-cture

ncedlysis.

on ofpress

t ofriza-.on ofhin-

t onals—

ond380–

arge

009)nant

cally

tion2.ring.

thernal

efor-nical

e ings of

ils in

own,6(1):

n by

micsized

ical386:

F.Z. Fang et al. / CIRP Annals - Manufacturing Technology 66 (2017) 683–705 705

[193] Sun ZB, Dong XZ, Chen WQ, Nakanishi S, Duan XM, Kawata S (2008)Multicolor Polymer Nanocomposites: In Situ Synthesis and Fabrication of3D Microstructures. Advanced Materials 20(5):914–919.

[194] Sweatt WC, Stulen RH (1999) U.S. Patent No. 5,870,176. Washington, DC: U.S.Patent and Trademark Office.

[195] Takahashi S, Kudo R, Usuki S, Takamasu K (2011) Super Resolution OpticalMeasurements of Nanodefects on Si Wafer Surface Using Infrared StandingEvanescent Wave. CIRP Annals—Manufacturing Technology 60(1):523–526.

[196] Taylor JS, Sommargren GE, Sweeney DW, Hudyma RM (1998) Fabrication andTesting of Optics for EUV Projection Lithography. 23rd Annual InternationalSymposium on Microlithography 580–590. International Society for Optics andPhotonics.

[197] Tersoff J, Hamann DR (1985) Theory of the Scanning Tunneling Microscope.Scanning Tunneling Microscopy, Springer, Netherlands.

[198] Thomas ELH, Nelson GW, Mandal S, Foord JS, Williams OA (2014) ChemicalMechanical Polishing of Thin Film Diamond. Carbon 68(2):473–479.

[199] Tian YB, Zhong ZW, Lai ST, Ang YJ (2013) Development of Fixed AbrasiveChemical Mechanical Polishing Process for Glass Disk Substrates. The Inter-national Journal of Advanced Manufacturing Technology 68(5):993–1000.

[200] Tinck S, Neyts E, Tillocher T, Dussart R, Bogaerts A (2015) Cryogenic Etching ofSilicon with SF6/O2/SiF4 Plasmas: A Modeling and Experimental Study. 22ndInternational Symposium on Plasma Chemistry (ISPC 2015).

[201] To S, Zhu Z (2015) Novel End-fly-cutting-servo System for DeterministicGeneration of Hierarchical Micro–Nanostructures. CIRP Annals—Manufactur-ing Technology 64(1):133–136.

[202] Tseng AA (2004) Recent Developments in Micromilling Using Focused IonBeam Technology. Journal of Micromechanics & Microengineering 14(14):15–34.

[203] Tsui KH, Lin Q, Chou H, Zhang Q, Fu H, Qi P, et al (2014) Low-cost, Flexible, andSelf-cleaning 3D Nanocone Anti-reflection Films for High-efficiency Photo-voltaics. Advanced Materials 26(18):2805–2811.

[204] Vaezi M, Yang S (2013) Freeform Fabrication of Nano-biomaterials Using 3DPrinting. Rapid Prototyping of Biomaterials: Principles and Applications 16–74.

[205] van den Berg R (2005) Extreme UV Lithography Preserves Moore’s Law. Optics& Laser Europe 129:29–31.

[206] Volkert CA (2007) Focused Ion Beam Microscopy and Micromaching. MRSBulletin 32(5):389–399.

[207] Vorburger TV, Dagata JA, Wilkening G, Lizuka K (1999) Industrial Uses of STMand AFM. CIRP Annals—Manufacturing Technology 46(2):196.

[208] Vukusic P (2010) An Introduction to Bio-inspired Design. Contact Lens Spec-trum 25:6–13.

[209] Wang C, Yu S, Chen W, Sun C (2013) Highly Efficient Light-trapping StructureDesign Inspired by Natural Evolution. Scientific Reports 3(1):1025.

[210] Wang H, Riemer O, Rickens K, Brinksmeier E (2016) On the Mechanism ofAsymmetric Ductile–Brittle Transition in Microcutting of (111) CaF2 SingleCrystals. Scripta Materialia 114:21–26.

[211] Wang H, Wang N, Hang T, Li M (2016) Morphologies and Wetting Properties ofCopper Film with 3D Porous Micro-nano Hierarchical Structure Prepared byElectrochemical Deposition. Applied Surface Science 372:7–12.

[212] Wang J, Xia H, Xu BB, Niu LG, Wu D, Chen QD, et al (2009) RemoteManipulation of Micronanomachines Containing Magnetic Nanoparticles.Optics Letters 34(5):581.

[213] Wang SH, Tan SL, Xu G, Koyama K (2011) Measurement of Deep GrooveStructures Using a Self-fabricated Long Tip in a Large Range MetrologicalAtomic Force Microscope. Measurement Science & Technology 22(9):094013.

[214] Wang XF, Ryu KS, Bullen DA, Zou J, Zhang H, Mirkin C, Liu C (2013) ScanningProbe Contact Printing. Langmuir 19(21):8951–8955.

[215] Weckenmann A, Estler T, Peggs G, Mcmurtry D (2004) Probing Systems inDimensional Metrology. CIRP Annals—Manufacturing Technology 53(2):657–684.

[216] Westcott NP, Luo W, Yousaf M (2014) Controlling Cell Behavior with PeptideNano-patterns. Journal of Colloid & Interface Science 430(430):207–213.

[217] Wikipedia. https://en.wikipedia.org/wiki/Scanning_electron_microscope#Principles_and_capacities.

[218] Wu B, Zhou J, Chen X, Liu H (2015) Molecular Dynamics Simulation of theDeposition and Annealing of NiAl Film on Ni Substrate. Applied Surface Science355:1145–1152.

[219] Wu D, Wu SZ, Niu LG, Chen QD, Wang R, Song JF, et al (2010) High NumeAperture Microlens Arrays of Close Packing. Applied Physics Letter(3):031109.

[220] Wu W, Xu ZW, Fang FZ, Liu B, Xiao YZ, Chen J, et al (2014) Decrease ofinduced Lateral Damage for Diamond Tool Used in Nano Cutting. NuInstruments & Methods in Physics Research 330(4):91–98.

[221] Xie Y, Yin W, Liu Z, Peyton A (2016) Simulation of Ultrasonic and EMAT AUsing FEM and FDTD. Ultrasonics 66:154–165.

[222] Xu BB, Xia H, Niu LG, Zhang YL, Sun K, Chen QD, et al (2010) FleNanowiring of Metal on Nonplanar Substrates by Femtosecond-laseduced Electroless Plating. Small 6(16):1762.

[223] Xu S, Shimada K, Mizutani M, Kuriyagawa T (2014) Fabrication of HyMicro/Nano-textured Surfaces Using Rotary Ultrasonic Machining with

point Diamond Tool. International Journal of Machine Tools & Manufa86(6):12–17.

[224] Xu XB, Li HF, Hasan D, Ruoff RS, Wang AX, Fan DL (2013) Near-field EnhaPlasmonic-magnetic Bifunctional Nanotubes for Single Cell BioanaAdvanced Functional Materials 23(35):4332–4338.

[225] Xu ZW, Fang FZ, Zhang SJ, Zhang XD, Hu XT, Fu YQ, et al (2010) FabricatiMicro Doe Using Micro Tools Shaped with Focused Ion Beam. Optics Ex18(8):8025–8032.

[226] Yamamura K, Shimada S, Mori Y (2008) Damage-free ImprovemenThickness Uniformity of Quartz Crystal Wafer by Plasma Chemical Vapotion Machining. CIRP Annals—Manufacturing Technology 57(1):567–570

[227] Yan J, Asami T, Harada H, Kuriyagawa T (2009) Fundamental InvestigatiSubsurface Damage in Single Crystalline Silicon Caused by Diamond Macing. Precision Engineering 33(4):378–386.

[228] Yan J, Asami T, Harada H, Kuriyagawa T (2012) Crystallographic EffecSubsurface Damage Formation in Silicon Microcutting. CIRP AnnManufacturing Technology 61(1):131–134.

[229] Yan J, Syoji K, Tamaki J (2003) Some Observations on the Wear of DiamTools in Ultra-precision Cutting of Single-crystal Silicon. Wear 255(7):11387.

[230] Yan J, Syoji K, Kuriyagawa T, Suzuki H (2002) Ductile Regime Turning at LTool Feed. Journal of Materials Processing Technology 121(2):363–372.

[231] Yanagi H, Takeaki R, Tomita S, Ishizumi A, Sasaki F, Yamashita K, et al (2Dye-doped Polymer Microring Laser Coupled with Stimulated ResoRaman Scattering. Applied Physics Letters 95(3):033306.

[232] Yang P, Deng T, Zhao D, Feng P, Pine D, Chmelka BF, et al (1998) HierarchiOrdered Oxides. Science 282(5397):2244.

[233] Yang Y, Luo J, Huang L, Hu G, Vargheese KD, Shi Y, et al (2016) Crack Initiain Metallic Glasses under Nanoindentation. Acta Materialia 115:413–42

[234] Yuan C, Zhang T (2013) Environmental Implications of Nano-manufactuGreen Manufacturing, Springer, US179–202.

[235] Zhang LC, Tanaka H (1999) On the Mechanics and Physics inNano-indentation of Silicon Monocrystals. JSME International Jou42(4):546–559.

[236] Zhang L, Zarudi I (2001) Towards a Deeper Understanding of Plastic Dmation in Mono-crystalline Silicon. International Journal of MechaSciences 43(9):1985–1996.

[237] Zhang TW, Boyd S, Vijayaraghavan A, Dornfeld D (2006) Energy UsNanoscale Manufacturing Electronics and the Environment. Proceedinthe 2006 IEEE International Symposium 266–271.

[238] Zhang W, Liao SS, Cui FZ (2003) Hierarchical Self-assembly of Nano-fibrMineralized Collagen. Chemistry of Materials 15(16):3221–3226.

[239] Zhang X, Sun C, Fang N (2004) Manufacturing at Nanoscale: Top-dBottom-up and System Engineering. Journal of Nanoparticle Research

125–130.[240] Zhang YL, Chen QD, Xia H, Sun HB (2010) Designable 3D Nanofabricatio

Femtosecond Laser Direct Writing. Nano Today 5(5):435–448.[241] Zhao Y, Peng X, Fu T, Huang C, Feng C, Yin D, et al (2016) Molecular Dyna

Simulation of Nano-indentation of (111) Cubic Boron Nitride with OptimTersoff Potential. Applied Surface Science 382:309–315.

[242] Zhu A, He D, Luo W, Liu Y (2016) Role of Crystal Orientation on ChemMechanical Polishing of Single Crystal Copper. Applied Surface Science

262–268.