28
Nanoparticle Contamination Control and Metrology for the EUVL Systems David Y. H. Pui Distinguished McKnight University Professor Mechanical Engineering Department University of Minnesota Jing Wang Assistant Professor, Civil and Environmental Engineering ETH Zurich, Switzerland

Nanoparticle Contamination Control and Metrology … Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles

Embed Size (px)

Citation preview

Page 1: Nanoparticle Contamination Control and Metrology … Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles

Nanoparticle Contamination Control and Metrology for the EUVL Systems

David Y. H. Pui Distinguished McKnight University Professor

Mechanical Engineering Department University of Minnesota

Jing Wang Assistant Professor, Civil and Environmental Engineering

ETH Zurich, Switzerland

Page 2: Nanoparticle Contamination Control and Metrology … Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles

2

Outline •  Background and Motivation •  Protection Schemes for EUVL Masks

–  Carriers at Atmospheric Pressure –  Scanners at below 100 mTorr

•  Nanoparticle Metrology and AMC Issues –  Standardization of Nanoparticles –  Mask Deposition and AMC Issues

Page 3: Nanoparticle Contamination Control and Metrology … Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles

3

Background and Motivation

•  Pellicles are unavailable for protecting the EUVL masks due to high absorption of EUV beam in most solid materials

Fused Silica Substrate

Light Source

Conventional Optical Lithography

Pellicle

•  EUVL masks need to be protected against all particles > about 20 nm

Low Thermal Expansion Substrate

Extreme Ultraviolet Lithography

13.4 nm EUV Light

Mo/Si

Multilayers

Buffer

Layer Absorber

Layer

Capping

Layer

Conductive Layer for

Electrostatic Chucking

Page 4: Nanoparticle Contamination Control and Metrology … Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles

4

Protection Schemes

•  Mask inside a carrier or scanner

Mask

Carrier or scanner

Critical Surface •  Cover plate to reduce risk volume

Cover Plate

Mask E -∇T

•  Critical surface upside down to avoid gravitational settling (Cover plate underneath mask during shipping, storage, and pump down)

•  Electric field to make use of electrophoresis

•  Thermal gradient to make use of thermophoresis

Particle

Trap

Particle

Trap

•  Particle trap surrounding mask to avoid particle penetration from the side

Asbach et al., Journal of Nanoparticle Research 8:705-708 (2006)

The Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles might deposit on the mask during each operation. We need to investigate a broad range of protection schemes.

Page 5: Nanoparticle Contamination Control and Metrology … Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles

5

Outline •  Background and Motivation •  Protection Schemes for EUVL Masks

–  Carriers at Atmospheric Pressure –  Scanners at below 100 mTorr

•  Nanoparticle Metrology and AMC Issues –  Standardization of Nanoparticles –  Mask Deposition and AMC Issues

Page 6: Nanoparticle Contamination Control and Metrology … Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles

6

Particle Source Identification ATOFMS Mask Scan

▪ Complex organic compound or mixture --possibly polymer

▪ Contact points between the mask surface and pins

Particles come mostly from contact points between mask surface and pins

Yook et al., IEEE Trans. Semi. Manu. 20(2): 176-186 (2007).

Page 7: Nanoparticle Contamination Control and Metrology … Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles

7

Validation of Pozzetta Carrier Design on Particle Generation during Real Shipping

Mask Pin

PZT600-51125

Mask Standoff

PZT600-69925

•  The pin-support generates considerable particles during shipping.

•  The standoff-support generates almost no particles.

Mask Scan

Page 8: Nanoparticle Contamination Control and Metrology … Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles

8

Study of Various Protection Schemes inside a Carrier

PF = Number of injected particles into the chamber

Number of deposited particles on the wafer

PF

Absolute Protection

No Protection

•  No particle deposition with face-down mounting and a cover plate

Top Injection Chamber Cover

Yook et al., J. Aerosol Sci. 38:211-227 (2007).

Page 9: Nanoparticle Contamination Control and Metrology … Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles

9

Effect of Cover Plate Protection (dp = 10 nm)

▫ No particle deposition on the critical surface down to dp = 10 nm

Critical

Surface

Particle Trap Cover Plate

Chamber

Particle Injection

Page 10: Nanoparticle Contamination Control and Metrology … Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles

10

Outline •  Background and Motivation •  Protection Schemes for EUVL Masks

–  Carriers at Atmospheric Pressure –  Scanners at below 100 mTorr

•  Nanoparticle Metrology and AMC Issues –  Standardization of Nanoparticles –  Mask Deposition and AMC Issues

Page 11: Nanoparticle Contamination Control and Metrology … Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles

11

Experimental Setup

Turbo

Chamber Cover

P2

Pump

Particle injection

Pump

Main Chamber

Opposing jet injector

P1

Q: volumetric flow

2

1

PP

AQ

vP ⋅=

Axial jet injector

Kim, J.H. et al., J. Vacuum Sci. Technol. A24(2):229-234 (2005)

Page 12: Nanoparticle Contamination Control and Metrology … Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles

12

Thermophoresis Test Set Up

FIG. 4. Stopping distance (a)

−∇ T = 0 K/cm

Gas Flow

Quiescent Zone

FIG. 4. Stopping distance (b)

−∇ T = 10 K/cm

Gas Flow

Quiescent Zone

Kim, J.H. et al., J. Vacuum Sci. Technol. B24(3):1178-1184 (2005)

Experimental cases: P: 100 mTorr, 50 mTorr ∇T: 0 K/cm, -10 K/cm dp: 125 nm, 220 nm (on wafers)

70 nm, 100 nm (on masks) vi: below, at, or above critical speed Gap: 1, 2 or 3 cm

Page 13: Nanoparticle Contamination Control and Metrology … Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles

13

Vacuum chamber

Page 14: Nanoparticle Contamination Control and Metrology … Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles

14

Thermophoresis at 100 mTorr, 2 cm Gap

100 mtorr

dp = 125 nm

dp = 220 nm

Kim, J.H. et al., J. Vacuum Sci. Technol. B24(3):1178-1184 (2005)

vp = 31 m/s for 125 nm

vp = 18 m/s for 220 nm

•  Thermophoresis improves protection.

Page 15: Nanoparticle Contamination Control and Metrology … Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles

15

[m/s]

Simulations at 50 mTorr 125 nm, 1 cm Gap, vi = 6.5 m/s

-∇T = 0 K/cm -∇T = 10 K/cm

Many particles deposited

(some by diffusion)

No particles deposited

•  Thermophoresis overcomes diffusion.

Page 16: Nanoparticle Contamination Control and Metrology … Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles

16

Outline

•  Background and Motivation •  Protection Schemes for EUVL Masks

–  Carriers at Atmospheric Pressure –  Scanners at below 100 mTorr

•  Nanoparticle Metrology and AMC Issues –  Standardization of Nanoparticles –  Mask Deposition and AMC Issues

Page 17: Nanoparticle Contamination Control and Metrology … Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles

17

New NIST Nanoparticle Standards: 60 nm and 100 nm SRM

Page 18: Nanoparticle Contamination Control and Metrology … Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles

18

Nanometer Differential Mobility Analyzer (Nano-DMA)

Page 19: Nanoparticle Contamination Control and Metrology … Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles

19

Issues with PSL Particle Standard

•  Different light scattering than particles from processes

•  Decomposition from exposure to deep ultra-violet (DUV) lights

•  Deformation due to adhesion forces A 1.3 µm PSL sphere after adhering to a chromium surface for 24 hrs. From Dahneke, B. “The influence of flattening on the adhesion of particles,” J. Colloid Interface Sci., vol. 40(1), (1972).

Page 20: Nanoparticle Contamination Control and Metrology … Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles

20

Outline •  Background and Motivation •  Protection Schemes for EUVL Masks

–  Carriers at Atmospheric Pressure –  Scanners at below 100 mTorr

•  Nanoparticle Metrology and AMC Issues –  Standardization of Nanoparticles –  Mask Deposition and AMC Issues

Page 21: Nanoparticle Contamination Control and Metrology … Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles

21

Standard Particle Deposition for Scanner Calibration

•  Calibration of surface inspection tools with particles of different materials

•  Development of accurate size standards •  Providing samples for cleaning studies

Page 22: Nanoparticle Contamination Control and Metrology … Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles

22

Haze Observed under Atmospheric and Vacuum Conditions

50nm SiO2. Target deposition area: 1inch spot size at the center. Testingtime: 2 min. (Atmospheric Pressure)

100 nm PSL particle. (Main Chamber p = 50 mTorr). Testing time: 1.5 hours

Page 23: Nanoparticle Contamination Control and Metrology … Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles

23

Airborne Molecular Contaminants (AMCs) Classification of AMCs

Acids Bases

Condensable Dopants

HF H2SO4

HCl HNO3

H3PO4 HBr

AMINE

NH3

NMP

HMDS

B2H6 BF3 AsH3

TCEP TEP TPP DOP DBP DEP

Siloxanes BHT

No Classes

H2O2 O3

IPA Acetone

SEMI Standard F21-95, 1996

Page 24: Nanoparticle Contamination Control and Metrology … Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles

24

Controlled Particle Deposition on Mask Blanks

Mask Scan Area

(140 mm x 140 mm)

Deposition Plan

(~ 2000 particles)

Detection of Particles

on a Quartz Mask

PSL

# ~ 2010

PSL

# ~ 2060

PSL

# ~ 2000

PSL

# ~ 1930

SiO2

# ~ 1950

SiO2

# ~ 1820

SiO2

# ~ 2060 SiO2

# ~ 2010

SiO2

# ~ 1980

▫ Known material

▫ Known number of particles

▫ NIST-traceable particle size

▫ Controlled deposition spot size

Page 25: Nanoparticle Contamination Control and Metrology … Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles

25

Summary •  Experimental methods and models have been

developed to evaluate protection schemes for masks in carrier or vacuum tools.

•  New carriers with tapered standoff generates almost no particles during shipping.

•  Face-down mounting and cover plate are very effective in protection.

•  Thermophoresis is most helpful to protect against particles driven by diffusion.

•  Method has been developed to deposit standard nanoparticles for inspection tool calibration.

•  Method has been developed to avoid haze formation caused by AMC.

Page 26: Nanoparticle Contamination Control and Metrology … Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles

26

Acknowledgement •  Intel Corporation – Ted Liang, Kevin Orvek, and

Peiyang Yan •  Sematech – Andy Ma, Long He •  H. Fissan, U. of Duisburg-Essen •  C. Asbach, T. van der Zwaag, T. Engelke, IUTA,

Duisburg •  J.H. Kim, C. Qi, Post-docs •  S.J. Yook, Y. Liu, Ph.D. students

Page 27: Nanoparticle Contamination Control and Metrology … Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles

27

Refereed Journal Papers Published under Intel Support

1. Asbach, C., Kim, J. H., Yook, S. J., Pui, D. Y. H., and Fissan H. (2005) Modeling of protection schemes for critical surfaces under low pressure conditions: comparison of analytical and numerical approach. Journal of Vacuum Science & Technology B 23(6): 2419-2426. 2. Asbach, C., Kim, J. H., Yook, S. J., Pui, D. Y. H., and Fissan H. (2005) Analytical modeling of particle stopping distance at low pressure to evaluate protection schemes for EUVL masks. Applied Physics Letters 87: 234111. 3. Asbach, C., Fissan, H., Kim, J. H., Yook, S. J., and Pui, D. Y. H. (2006) Technical Note: Concepts for protection of EUVL masks from particle contamination and approaches for modeling and experimental verification. J. Nanoparticle Research, 8, 705 – 708. 4. Kim, J. H., Asbach, C., Yook, S. J., Fissan H., Orvek, K., Ramamoorthy, A., Yan, P. Y., and Pui, D. Y. H. (2005) Protection schemes for critical surfaces in vacuum environment. J. Vacuum Science & Technology A 23(5):1319-1324. 5. Kim, J. H., Fissan, H., Asbach, C., Yook, S. J., and Pui, D. Y. H. (2006) Speed controlled particle injection under vacuum conditions. J. Vacuum Science & Technology A, 24(2): 229-234. 6. Kim, J. H., Fissan, H., Asbach, C., Yook, S. J., Orvek, K., and Pui, D. Y. H. (2006) Investigation of thermophoretic protection with speed-controlled particles at 100, 50, and 25 mTorr. J. Vacuum Science & Technology B, 24(3): 1178-1184. 7. Kim, J. H., Fissan, H., Asbach, C., Yook, S. J., Wang, J., Pui, D. Y. H., and Orvek, K. J. (2006) Effect of reverse flow by differential pressure on the protection of critical surfaces against particle contamination. Journal of Vacuum Science & Technology B 24(4):1844-1849. 8. Asbach, C., Fissan, H., Kim, J. H., Yook, S. J., and Pui, D. Y. H. (2007) A Simple theoretical approach to estimate the effect of gravity and thermophoresis on the diffusional nanoparticle contamination under low pressure conditions, J. Vac. Sci. & Technol. B, 25(1): 47 – 53. 9. Yook, S. J., H. Fissan, C. Asbach, J. H. Kim, J. Wang, P. Y. Yan, and D. Y. H. Pui, (2007) Evaluation of protection schemes for extreme ultraviolet lithography (EUVL) masks against top–down aerosol flow, J. Aerosol Sci. 38, 211 – 227, Feb. 2007.

Page 28: Nanoparticle Contamination Control and Metrology … Intel project started in 2004. Particle contamination of EUVL photomasks was unknown. It was feared that thousands of particles

28

10. Engelke, T., T. van der Zwaag, C. Asbach, H. Fissan, J. H. Kim, S. J. Yook, and D. Y. H. Pui, (2007) Numerical Evaluation of Protection Schemes for EUVL Masks in Carrier Systems Against Horizontal Aerosol Flow, J. Electrochem. Soc. 154, H170–H176. 11. Yook, S. J., Fissan, H., Asbach, C., Kim, J. H., van der Zwaag, T., Engelke, T., Yan, P. Y., and Pui, D. Y. H. (2007) Experimental investigations on protection schemes for extreme ultraviolet lithography (EUVL) mask carrier systems against horizontal aerosol flow, IEEE Transactions on Semiconductor Manufacturing 20(2):176-186. 12. Yook, S. J., Fissan, H., Kim, J. H., van der Zwaag, T., Engelke, T., Asbach, C., Eschbach, F., Wang, J., and Pui, D. Y. H, (2008) Controlled Deposition of SiO2 Nanoparticles of NIST-Traceable Particle Sizes for Mask Surface Inspection System Characterization, IEEE Trans. Semiconduct. Manuf. 21(2):238-243. 13. Yook, S.J., H. Fissan, C. Asbach, J. H. Kim, D. D. Dutcher, P. Y. Yan, and D. Y. H. Pui, (2007) Experimental investigations on particle contamination of masks without protective pellicles during vibration or shipping of mask carriers, IEEE Trans. Semicond. Manuf. 20(4):578-584. 14. Yook, S. J. H. Fissan, T. Engelke, C. Asbach, T. van der Zwaag, J. H. Kim, J. Wang, and D. Y. H. Pui (2008) Methods to deposit nanoparticles of NIST-traceable sizes on a mask or a wafer surface for characterizing surface inspection tools, J. Aerosol Sci. (in press) 15. Asbach, C., Stahlmecke, B., Fissan, H., Kuhlbusch, T., Wang, J. and Pui, D. Y.H. (2008) Analytical-statistical model to approximate diffusional nanoparticle deposition on inverted surfaces at low pressure, Applied Physics Letters 92(6):064107. 16. Wang, J., Pui, D. Y.H., Qi, C., Yook, S.J., Fissan, H., Ultanir, E. and Liang, T. (2008) Controlled Deposition of NIST-traceble Nanoparticles as Additional Size Standards for Photomask Applications, Proc. of SPIE Vol. 6922:69220G.