109
공학박사학위논문 전동공구용 무선 다중충전 시스템 개발 Development of A Wireless Multiple Charging System for Power Tools 충북대학교 대학원 전기 · 전자 · 정보 · 컴퓨터학부 전파통신공학전공 나임바얄야리 자르칼사이칸 (Nyambayar Jargalsaikhan) 2019 2

(Nyambayar Jargalsaikhan) - CBNU

  • Upload
    others

  • View
    20

  • Download
    0

Embed Size (px)

Citation preview

Development of A Wireless Multiple Charging System for
Power Tools


(Nyambayar Jargalsaikhan)
2019 2
Development of A Wireless Multiple Charging System for
Power Tools


(Nyambayar Jargalsaikhan)
2019 2



Power Tools
Nyambayar Jargalsaikhan
Graduate School of Chungbuk National University,
Cheongju, Korea
Abstract
The wireless power transfer techniques have been increasing popularity in the recent years
due to the widespread use of mobile electronic devices such as laptops, mobile phones,
wearable electronics and wireless sensors. Traditionally, these devices are powered using
a battery that needs to be recharged. Wireless power transfer has the potential of enabling
new applications of those diverse devices, where it is not possible to use the battery (e.g.
due to its size or weight), or where the use of a rechargeable battery wired charger is not
practical. In this thesis we investigate Wireless Power Transfer Networks (WPTNs). These
are the networks of wireless power transmitters and receivers that are connected together
in a network with the goal of increasing network efficiency while maximizing charging of
receivers and minimizing the consumption of energy in the network.
* A dissertation for the degree of Doctor in February 2019.
ii
This thesis describes approaches to wireless power transfer technology with a focus on
medium-power applications, inductive and magnetic resonant wireless chargers for Power
Tool, and then discusses key High-Efficient, safety concerns and make simplified discrete
solution using commercial components development. The power transferring mechanism
should be provide better alignment between the transmitter and the receiver coils. The goal
is to maximize charging efficiency, to minimize the consumption of the system and achieve
multiple charging. The performance of the wireless charging has been analyzed, modeled
mathematically and verified experimentally.
1.2 Overview of Wireless Charger for Power Tools ............................................. 5
II Coil Design 11
2.4 Measurement Methods .................................................................................. 21
III Wireless Power Transmitter Module 25
3.1 Structure and Operation Principle of WPT ................................................... 25
3.2 Structure and Operation Principle of Mutiple WPT ...................................... 28
3.3 DC to AC Converter ..................................................................................... 31
3.4 Current and Voltage Monitoring ................................................................... 36
3.5 Foreign Object Detecting .............................................................................. 38
3.6 Software Implementation of WPT ............................................................... 39
3.7 Efficiency Analysis of WPT ......................................................................... 44
3.8 Schematics of WPT ....................................................................................... 47
IV Wireless Power Receiver Module 58
4.1 Overall Structure and Operationg Principle of WPR .................................... 58
4.2 Syncronous Power Rectifier ......................................................................... 60
4.3 Rechargeable Battery ................................................................................... 62
4.6 Schematic of WPR ....................................................................................... 71
V Communication and Control 74
5.1 Qi Communication Standard ....................................................................... 74
5.2 IR Communication ........................................................................................ 76
5.3 Charging Control ........................................................................................... 77
6.4 Rx-Battery Module ........................................................................................ 83
VIII Conclusions 92
Fig. 1.2 An illustration of capacitive power transfer .......................................................... 3
Fig. 1.3 An illustration of Inductive power transfer ............................................................ 4
Fig. 1.4 Basic of inductive wireless charging system ........................................................ 5
Fig. 1.5 Single charger for power tool ............................................................................... 6
Fig. 1.6 Simplified structure of wireless charging system .................................................. 7
Fig. 1.7 Multiple charger for power tools ........................................................................... 8
Fig. 1.8 Solution of switching power circuit DC to AC converters .................................... 9
Fig. 1.9 Solution of power switching Tx coils .................................................................. 10
Fig. 1.10 Simplified block diagram of RX-battery module ............................................. 10
Fig. 2.1 Structure of power transferring antenna............................................................... 11
Fig. 2.2 Structure of coil.................................................................................................... 12
Fig. 2.3 Structure with simulation parameters ................................................................. 13
Fig. 2.4 Magnetic flux path in free space and ferrite sheet ............................................... 14
Fig. 2.5 Eddy current analysis on single coil .................................................................... 15
Fig. 2.6 Magnetic flux intensity on coil surface ................................................................ 15
Fig. 2.7 Magnetic field intensity environment of the coil ................................................. 15
Fig. 2.8 Inductance of single coil ...................................................................................... 16
Fig. 2.9 Magnetic flux in the field ..................................................................................... 16
Fig. 2.10 Effect of coil coupling ....................................................................................... 17
Fig. 2.11 Mutual inductance ............................................................................................. 18
Fig. 2.12 Coupling coefficient........................................................................................... 18
Fig. 2.14 Coupling coefficient depending of displacement ............................................... 19
Fig. 2.15 Magnetic field density around coupled coils ..................................................... 20
Fig. 2.16 Magnetic field density around coupled coils 3D view ....................................... 20
Fig. 2.17 Measuring equipment setting. ............................................................................ 21
Fig. 2.19 Measurement method-two (a) Secondary coil open
(b) Secondary coil shorted .......................................................................................... 23
Fig. 2.20 Mutual inductance of measured and simulated .................................................. 24
Fig. 2.21 Measured coupling coefficient ........................................................................... 24
Fig. 3.1 Block diagram of transmitter for single charging ................................................ 25
Fig. 3.2 Block diagram of simplified system efficiency ................................................... 27
Fig. 3.3 Overall block diagram of multiple charger .......................................................... 28
Fig. 3.4 Block diagram of WPT for multiple charging ..................................................... 29
Fig. 3.5 DC to AC converting based on a full-bridge ....................................................... 31
Fig. 3.6 Waveforms of DC to AC converter (a) low level gate controlling, (b) up level
gave controlling and (c) middle point of coil and capacitor at without load ............... 32
Fig. 3.7 Inductive link between WPT and WPR ............................................................... 33
Fig. 3.8 LC resonant curve for delivery power control ..................................................... 35
Fig. 3.9 Current sensing circuit of transmitter coil ............................................................ 36
Fig. 3.10 Output voltage of current sensing amplifier ...................................................... 37
Fig. 3.11 Voltage sensing circuit of input voltage ............................................................ 38
Fig. 3.12 Over current protection ...................................................................................... 38
Fig. 3.13 IR foreign object detection ................................................................................. 38
Fig. 3.14 Software initialization on MCU of WPT ........................................................... 39
Fig. 3.15 Software flowchart of WPT ............................................................................... 40
Fig. 3.16 Software initialization on MCU of multiple WPT (a) charging MCU#1 and
(b) charging MCU#2 .................................................................................................. 41
Fig. 3.17 Flow chart of driver circuit controlling MCU#1 ................................................ 42
Fig. 3.18 Flow chart of charging controller MCU#2. ....................................................... 43
Fig. 3.19 Critical parameters of power transfer circuit. .................................................... 45
Fig. 3.20 Power consumption measurement block of WPT. ............................................. 45
Fig. 3.21 Power consumption measurement block of multiple WPT................................ 46
Fig. 3.22 Main MCU of WPT. .......................................................................................... 47
User button .................................................................................................................. 47
Fig. 3.24 Step-down voltage regulators (a) 5Vdc output (b) 3.3 Vdc output LDO .......... 48
Fig. 3.25 IR receiver circuit .............................................................................................. 48
Fig. 3.26 DC to AC conversion full-bridge ....................................................................... 49
Fig. 3.27 Controller board MCUs of WPT (a) Multiplexing circuit controller MCU#1
(b) Charging control MCU#2 ...................................................................................... 50
Fig. 3.28 Frequency inverting and non-inverting circuits ................................................. 51
Fig. 3.29 Step-down voltage regulators (a) 5Vdc Output (b) 3.3Vdc output .................... 51
Fig. 3.30 Current sensing and over current detection circuit (a) A-side
and (b) B-side .................................................................................................................. 52
Fig. 3.31 Full-bridge circuit (a) A-side and (b) B-side .................................................... 53
Fig. 3.32 Relay-based coil switching circuit ..................................................................... 54
Fig. 3.33 Array of IR object detecting circuits .................................................................. 55
Fig. 3.34 Array of IR receiver circuits .............................................................................. 56
Fig. 3.35 Array of charging state indication ...................................................................... 57
Fig. 4.1 Block diagram of wireless power receiver module .............................................. 58
Fig. 4.2 Full wave rectifying ............................................................................................. 60
Fig. 4.3 Half synchronous full-wave rectifier ................................................................... 61
Fig. 4.4 Discharge voltage and current with fixed load .................................................... 62
Fig. 4.5 Discharge capacity of li-ion battery ..................................................................... 63
Fig. 4.6 Discharge voltage levels with varies load ............................................................ 63
Fig. 4.7 Li-ion single cell battery charging curves ............................................................ 64
Fig. 4.8 Li-ion battery ICR18650 ...................................................................................... 65
Fig. 4.9 Software initialization on MCU of WPT ............................................................. 67
Fig. 4.10 Flowchart of charging controller MCU#2 ......................................................... 68
Fig. 4.11 Critical parameters of power receiver circuit ..................................................... 69
Fig. 4.12 Power consumption measurement block of WPR .............................................. 69
Fig. 4.13 Main MCU of WPR ........................................................................................... 71
indication LEDs .......................................................................................................... 71
Fig. 4.15 Step down voltage regulators (a) 5VDC output (b) 3.3VDC output .................. 72
Fig. 4.16 Battery temperature sensing circuit.................................................................... 72
Fig. 4.17 IR transceiver ..................................................................................................... 72
Fig. 4.18 Rectifier, ON/OFF switch and Current sensor with OCP .................................. 73
Fig. 5.1 Binary amplitude shift key modulated wave ........................................................ 74
Fig. 5.2 Bi-phase bit encoding .......................................................................................... 75
Fig. 5.3 A byte structure .................................................................................................... 75
Fig. 5.4 Packet structure .................................................................................................... 75
Fig. 5.5 Hardware configuration of IR transmitter ............................................................ 76
Fig. 5.6 Measuring parameters for charging control ......................................................... 77
Fig. 6.1 Coil....................................................................................................................... 78
Fig. 6.2 PCB board of the single charger .......................................................................... 79
Fig. 6.3 PCB artwork of multiple charger 3D view (a) controller board for WPT and
(b) driver board of WPT .............................................................................................. 80
Fig. 6.4 Assembled board of multiple charger (a) controller board of multiple WPT and
(b) driver board of multiple WPT ............................................................................... 81
Fig. 6.5 TX board in case view with top plate .................................................................. 82
Fig. 6.6 PCB Artwork of receiver module (a) 2D view of art work and
(b) 3D view of art-work .............................................................................................. 83
Fig. 6.7 PCB Board of receiver module (a) TOP side view and (b) Bottom side view .... 84
Fig. 6.8 Battery in the receiver module ............................................................................ 85
Fig. 6.9 Prototype of receiver module .............................................................................. 85
Fig. 6.10 Prototype of receiver module connected to power tool .................................... 86
Fig. 6.11 Prototype of multiple charging set with power tool ........................................... 86
Fig. 7.1 Measured curves of charging current and voltage ............................................... 88
Fig. 7.2 Measured curves of charging power and efficiency ............................................ 89
Charging test with two RX module ............................................................................. 90
Fig. 7.4 Test of device performance done by ETRI (a) Charging test with single module (b)
Charging test with two RX module ............................................................................. 91
x
Table 3.1 Measured coil parameter. .................................................................................. 35
Table 3.2 Power consumption of WPT. ............................................................................ 45
Table 3.3 Power consumption of multiple WPT. .............................................................. 46
Table 4.1 Measured power consumption of WPR ............................................................ 70
Table 7.1 System total power consumptions ..................................................................... 87
1
I. Introduction
For several years, there were three competing wireless charging standards groups
focused on inductive and resonant charging specifications: The Alliance for Wireless
Power (A4WP), the Power Matters Alliance (PMA) and the Wireless Power Consortium
(WPC). The WPC created the most popular of the wireless charging standards Qi, which
enables inductive or pad-style charging and short-distance (1.5 cm or less) electromagnetic
resonant inductive charging. Nowadays, the wireless power consortium growing an eco-
system of companies that supply components, services and production for a wide variety
of applications [1]. In the recent years wireless power transferred devices such as Mobile
Phones, Tablets, and Laptops, Power Tools and Electric Vehicles are currently driving the
development of wireless powering and charging technology to another level, the number
of different products speedily increasing in this field. The wireless power consortium will
continue to increase design freedom for product developers. In the coming years can expect
more choice in power levels (from 5 Watt to 2000 Watt), more choice in transfer distance
(allow applications to choose any distance between 0 and 5 cm), more choice in charging
area, more choice in low-cost solutions, more choice in multi-device charger, more choice
in systems for automotive use, and more choice in systems for public space charging and
for enabling services.
This dissertation focuses on the design 15 watt, high-efficient, less-weight, small-size,
low-cost, discrete solution single or multiple wireless charging system for power tools. The
goal of this project is to design a prototype wireless energy charger for medium power
devices with specific emphasis on power tools such as power drills, planers, grinders, saws,
sanders, etc. Through research and design, this development will critically address and
analyze the major design challenges that bring about longer charging periods of the new
inductive wireless charging compared to the old fashioned, wired charging method, and
provide probable solutions.
1.1 Wireless Power Transmission Basics
The transfer of power was the very first attempt using radio waves as a medium. Radio
waves were first predicted in 1864 by James C. Maxwell. In 1888, Heinrich Hertz showed
evidence of radio-waves using his spark-gap radio transmitter. Nikola Tesla believed that
wireless power transfer was possible and probable. He built what was called the “Tesla
Tower” which was a giant coil connected to a 70 meters high tower with a ball 91.5cm in
diameter. Tesla experimented resonant coil at 150 kHz with 300kW of power [7].
Fig. 1.1 Classification of wireless power transfer.
This wireless power transmission is the prominence in the development of electronics.
In WPT the power is transferred wirelessly via magnetic induction, magnetic resonance
and microwaves. By the use of these processes, electrical power is transmitted wirelessly
from one place to another without any interface. A few turns of coils and antennas are used
to transmit and receive wireless energy. The wireless power transmitted can be affected
due to the shape of the antenna, most probably circular and rectangular antennas are used
for wireless power transfer. Basically, the wireless transmission is categorized under two
techniques Near-field and Far-field shown in figure 1.1.
Wireless
Power
Transfer
Near-Field
Transfers
Far-Field
Transfers
Capacitive power transfer (CPT) systems utilize high-frequency electric fields to transfer
power. A common system structure is shown in Fig. 1.2 [2, 3]. Two pairs of metal plates
form two capacitors, which is also called capacitive coupler, to establish the electric fields.
The two capacitors provide a power flow loop from the input source to the output load. The
coupling capacitances depend on the plate area, plate distance, and the dielectric material
between the plates [4]. Similar to the inductive power transfer system, two matching
networks are required at both the primary and secondary side to resonate with the capacitors
to increase the voltage on the plate for power transfer.
Resonant
Fig. 1.2 An illustration of capacitive power transfer.
When two copper plates are placed close together, alternating electric field is formed
between the plates then the displacement current can ‘flow’ through and the power can be
deliver to a load without direct electrical contact. To obtain sufficient amount of power as
well as provide electrical insulation between the primary and secondary sides, a tuning
inductor either series or parallel connection is also usually used to dielectric materials are
covered on the surfaces of the plates and recompense the equivalent coupling capacitance.
4
1.1.2 Inductive Power Transfer System
The Inductive Coupling is the main subject of this development project. Magnetic
(Inductive) Coupling offers significant opportunities for wireless power transferand widely
used. Common names for these systems are Inductive Power Transfer (IPT) or Wireless
Power Transfer (WPT) [5]. Two planar coils from a loosely-coupled transformer, which is
called a magnetic inductive coupler, to generate magnetic field. Since is a gap between the
coils, their magnetic coupling is relatively low. Therefore, magnetic ferrite can be used to
enhance the coupling at both the primary and secondary sides, matching networks can
significantly increase the current flowing through the coils, and generate stronger magnetic
field.
Fig. 1.3 An illustration of inductive power transfer.
An IPT system consisting of two coils is made up by an inverter a primary coil, a
secondary coil, and a secondary rectifier. The primary coil inverter (DC to AC Converter)
and the primary coil together are called transmitter, the secondary coil and the secondary
rectifier together are called receiver. Also primary coil, matching capacitor and secondary
coil and matching capacitor together are called a inductive link. Inductive charging has
been known for years and is used to charge small devises such us cell phone, electric razors,
and electric toothbrushes without removing the battery or making direct electric contact.
5
Contactless charging technology usually called “wireless charging” allows to charge the
battery without physically connection. Before detailing the techniques in this thesis, this
chapter first presents a background in wireless charging system. Following block diagram
shows a basic of wireless charging system.Fig.1.4.
DC to AC
Fig. 1.4 Basic of inductive wireless charging system.
Power source supplies the DC power to wireless power transmitter, DC to AC converter
converts DC power to AC power and delivered into the induction link, which consists
essentially of two coupled resonant tanks, the primary-side resonant tank in the transmitter
device and the secondary-side resonant tank in the receiver device. Each resonant tank
includes a capacitor in series with a matched inductor, the power is transferred through the
air between these two coupled coils. AC to DC converter is rectifies to DC power. Charger
is also DC to DC converted for adjusting charging power level and controls charging
operation properly.
Wireless charging system rely on a pair of coils, the one in the charger as a transmitter and
the one in the battery side as a receiver. The device is place on top of a compatible charger
and energy will be sent to the battery in the form of electromagnetic waves. In modern
6
handled power tools using a various type of battery such as NiCd, NiMH and Li-ion
batteries can be recharged at any points without problems. Wireless charging technology
does no longer require to unplug battery before charging it. Before the development the
charger requirement of specification must be defined. A target is set for transfer power
level, transfer efficiency, standby power, safety power level, easy to use and transfer
distance. In this development the specification aimed for are 15watt charging power, a
battery Level 14.4V, single and multiple charging available, high-efficient charging done
by software-based controller to removing battery charger block in figure 1.4. One of the
development key that made this system possible is low cost, high efficient, discrete solution
and software based battery charger. 3D modeling of wireless charger for power tool shown
on Fig 1.2.1 and Fig 1.2.2. The power drills are handy tools for working field free and most
common tool we want have around the house.
Battery
PCB
7
A power drill is an electrical motor that rotates a replaceable drill bit to make a hole in
wood, plastic or metal. Alternately, a screwdriver tip can be installed to turn screws. Two
types of electrical power tools exist, which has corded or cordless. Cordless power tools
are powered by battery in the handle and plug in or insert the battery into the power drill.
Wireless charger to consist of wireless power transmitter (WPT) and wireless power
receiver (WPR). The wireless power transmitter (WPT) should be able to detect the
wireless power receiver (WPR) and be placed and aligned with the Tx coil, so that the
power transmitter can start transmitting the power. In our design simplified detection
method of WPR replaced with Infrared wave. After detection WPT distributes magnetic
energy to WPR and controls the charging properly.
DC to AC
RectifierDC to AC
Fig. 1.6 Simplified structure of wireless charging system
In order to start this, WPT sends a ping every 1000ms to detect WPR, which is actually
power radiation for short duration or applying AC voltage to the Tx coil. When the WPR
is placed on the WPT, the Tx coil's effective impedance is changed during sends ping with
AC power. Since the MCU in the WPT can measure the Tx coil's current and voltage and
detects the change in the Tx coil's effective impedance. This is the way how the Tx MCU
detects the WPR, however the Tx coil's effective impedance can be affected by any metal
object. Thus verifying the true WPR is important aspect.
8
The WPR’s MCU uses an IR transceiver circuit sends digital data to WPT. In other word
during the transferring power energy, the WPR receives the energy and powered itself up
then sends the identification information. In WPT part detects that sent data with IR
receiver circuit from WPR and verifies the WPR, it radiates power continuously. Also the
WPR sends the information about how much power is consumed on its load and this
information is used to calculate the energy transferring efficiency. The WPT adjusts the
radiating power level based on the energy consuming information from the WPR to
maximize the energy transferring efficiency. This wireless charging design specification
more than 15W, charging voltage Up to 16.8V and Total efficiency more than 75%.
Battery of
9
The one wireless charging pad capable of powering up twelve Rx-battery devices
sequentially in any time, there are Rx-battery modules alternatively positioned on the
charging pad and it can be sequentially detected after charging complete. Multiple charging
devices were less described and less studied before, at one time all battery devices put on
the charging pad and it can charge autonomously every single battery module one by one.
The hardware solution is based on single charging system and switching the charging
power circuits. Two case of power switching solution we designed first one is switching
(multiplexing) DC to AC Converting circuits (Full Bridge) with Tx-Coils, the another one
is only switching Tx-Coils based on relay circuit.
RX-1 MODULE
RX-N MODULE
IR receiver
Fig. 1.8 Solution of switching power circuits of DC to AC converters
Shown Fig.1.8, solution of multiple charger multiplexing power transmission circuits with
DC to AC converters, IR receiver circuits for communication, controlling waves, and object
detecting circuits. In this design, utilizing number of DC to AC converters made by Full-
Bridge circuit.
Fig. 1.9 Solution of power switching Tx coils
Shown Fig.1.9, solution of multiple charger is DC to AC converter to switch power
transmitter Tx-Coils using by power multiplexing circuit, IR receiver circuits for
communication, controlling waves, and object detecting circuits. In this design, utilizing
Single Full-Bridge circuit and multiplexing between Tx-Coils with relay-based circuit.
RX-1 MODULE
RX-N MODULE
Shown Fig.1.9, simplified internal block diagram of Rx-Module, multiple charger can be
charge twelve Rx-Battery modules sequentially.
11
2.1 Structure of Coil Design
The requirement for design was 15Watt using inductive coupling power delivery. The
transmitter side generates the electromagnetic field, and then the receiver side with
accepted induced power produces the AC current when placed next to transmitter’s the
power electromagnetic field [8]. The induced power capability must be sufficient for the
application, including the working frequency and transferring power. The magnetic
permeability is significant factor in coil design so “µ” materials are utilized in order to
maximize magnetic flux with minimum losses (reduce the length of the magnetic flux path).
Ferrite sheet
Ferrite sheet
Fig. 2.1 Structure of power transferring antenna
Problem of low frequency, small field and the loss can be described with the complex initial
permeability. In general, if the alternating magnetic field j t
mH H = is applied to ferrite
material, then the associated flux density B is usually delayed by phase angle δ due to losses
j t j mB B −= .
12
The coil winding can be from one or two layers of the Litz wire. The adhesive tape
between coil and ferrite sheet maintains the required distance and the mechanical fixation.
The center positioned magnet can be used to provide better alignment between the
transmitter and the receiver coils. The ferrite sheet provides the one side magnetic shield
and forms the magnetic field. The ferrite properties (material and thickness) have influence
to the maximal power transfer for the certain temperature rise, the coil is identical and fixed
with an inner radius equal to 9.5mm and wire radius 1mm. the coil are wounded with N =
13 times, one layer.
Fig. 2.2 Structure of coil
In this wireless charger development design used symmetric coils to transfer and receiver
side, it gives better performance to the maximize efficiency due to geometrical reasons.
One of key in this development to design small size of coil for research project requirement.
Coil
Adhesive
Tape
Ferrite
Sheet
13
There is a design a coil in inductive power transmission system used a turn the wire
outwards in a flat, spiral direction. It’s dimension of the coil simulated parameters shown
in figure 2.3. The single coil is identical and fixed with wire radius 1mm. the coil is
wounded with N = 13 turns and single layer structure located in free space.
d
s
d
Ri
Fig. 2.3 Structure with dimensional parameters
where the parameter “Ri” is inner radius of the flat coil, “d” is a displacement, “s” is a
spacing between the coils. With these parameters we can analysis inductive coupling
performance and size of coil.
14
2.2 Simulation of Single Coil Analysis
This section studied the effect on the inductance, loses and magnetic fields due to
shielding plates (ferrite sheet). Simulation done by Ansys Maxwell 2D and 3D model
simulator.
Fig. 2.4 Magnetic flux path in free space and ferrite sheet
The main loss part considers is eddy current loss. Alternating magnetic flux in
conductive medium induces eddy-current that result in energy losses called eddy current
losses. The relation classical eddy current in fact are derived for metallic material. The
resistivity of PF is much higher and so there would be negligible eddy current in Nickel-
zinc ferrite (NiZn) and only very small in Manganese-zinc ferrite (MnZn) ones [9], MnZn
have higher permeability and saturation induction than Nizn. MnZn ferrites are the widest
ceramic material family used for the manufacturing of polycrystalline core of inductive
components [10,11]. These components are traditionally used for inductive power
transformation frequencies up to almost 1MHz [12]. Generally, two categories of MnZn
ferrite for power applications can be distinguished: low frequency ferrite operating at
frequencies up to 200kHz and high frequency ferrites operating at frequencies up to
500kHz [13].
15
Here is 3D simulation analysis of electric and magnetic field density on single coil.
Illustrating following figures are shows eddy current effect, magnetic flux on the coil’s
surface, magnetic field intensity around of the coil at 1A current flows through into coil.
Fig. 2.5 Eddy Current analysis on the coil
Fig. 2.6 Magnetic flux intensity on coil surface
Fig. 2.7 Magnetic field intensity environment of the coil
16
To be able to analyze the inductance and magnetic flux, the inductance and magnetic flux
density depend on the size of the coils, here we changed inner radius of the coil and result
is given following figure 2.8 and 2.9.
Fig. 2.8 Inductance of single coil
Fig. 2.9 Magnetic flux in the field
17
2.3 Simulation of Inductive Coupling
During energy transfer in a magnetically coupled pair of coils the magnetic flux flow
through transmitter to the receiver, using its surrounding environments to provide the return
path. As we can see in figure xx this directed flux can flow up through the receiver and
continue into the device being charged. This will have negative effects, as these magnetic
fields will cause self-heating within any conductive component other than the charge coil.
The inductive coupling will also provide noise current loops in these conductive materials
(IC’s PCB traces, etc) thus creating EMI Issues.
Charging device RX
Charging device TX
Fig. 2.10 Effect of coil coupling
The coil shielding is also important, because the magnetic field leaking into the air will not
transfer the power from Tx to Rx, and the shielding can contain the magnetic field as much
as possible to improve the system efficiency and avoid bad effects of the nearby objects
from interference. The shielding should be designed to place at the back of the Tx-coil and
Rx-coil.
18
This section studied the effect on the mutual inductance and inductive coupling, changed
spacing between two coils.
Fig. 2.11 Mutual inductance
Fig. 2.12 Coupling coefficient
The shown result in figure 2.12 is Inductive coupling simulation between primary and
secondary coil has with or without ferrite core, it shows the ferrite core increases the
coupling coefficient around ten percent.
19
Designing inductive coupling between two coils has some critical parameters are inner
radius ‘Ri’, coil displacement ‘d’.
Fig. 2.13 Coupling coefficient depending of inner radius
Fig. 2.14 Coupling coefficient depending of displacement
Figure 2.13 simulation of the coupling coefficient shows, if the inner radius getting increase,
the coupling coefficient was increasing slightly due to ratio of the spacing between the coils
and radius of the coils are inversely proportional.
20
Magnetic flux intensity 3D pattern shown in following figure 2.15 and 2.16.
Fig. 2.15 Magnetic field density around coupled coils
Fig. 2.16 Magnetic field density around coupled coils 3D view
Optimal value chosen under project specification (size limitation of project requirement),
chosen values are Ri = 9.5mm and wire radius r =1mm. the coil are wounded with N = 13
times where the distance between 1mm located in free space.
21
2.4 Measurement Methods
As an effort for the development of a 15-W inductive charger for power drills,
commercial coils from DigiKey have been purchased and measured for their quality factor
(Q) and coupling coefficient (k) by the open-short method. Also the quality of magnetic
backing materials has been qualitatively tested for use in inductive charging applications.
Coil Coupling measurement starts from measuring inductance of both coils, using with
LCR meter (MIT 9216A and Agilent E4980A), oscilloscope (HEWLETT PACKARD
54602B). It can be done measuring the amplitude the ac voltage across the inductor and
current through it with power down scale (lower power). Two magnetically coupled coils
L1 and L2 self-inductance of L1=12.5uH and 12.6uH at 100kHz, measuring ac voltage of
peak to peak amplitude is 2V. 100kHz sinusoidal voltage of 2.0 V peak to peak amplitude
is connected to L11 Coil and placed on L22 with gap “d”.
Fig. 2.17 Measuring equipment setting
22
This section, we drive a simple formula for the mutual inductance as a function of the
distance between two planar winded coils, equation is used references [14] for the mutual
inductance, coupling coefficient.
Parameters Single Coupled
Q 86 85 127.1 22.86
turn 13 13 13 13
2.4.1 Method-one: inductance and induced voltage measurement
measured primary coil inductance V1 (AC peak to peak voltage), L11 (mutual inductance)
and V2 when the secondary coil L22 is open, after this also measured the secondary coil
inductance L22 when the primary coil is open. The source voltage must be a low impedance
and the measurement voltage made at high impedance. Now can calculate the mutual
inductance “M” and the Coupling Coefficient “k” between the two coils. Measuring
equivalent circuit sown figure 2.17.
3 Fig. 2.17 Measurement method-one
d
V1
23
The coupling coefficient can be approach with following formula [16]. V1 and V2 are the
inductor voltages, L11 and L22 are primary and secondary side inductors with nothing else
connected to it.
2.4.2 Method-two open and shorted circuit measurement
Open and short circuit method, measure the inductance of primary side inductor and
secondary side inductor make it open, in other word the other side inductor connects with
nothing. After this measure primary side again while secondary side inductor shorted. Open
and Short circuit inductance measurement is different approximation for the coupling factor.
Which measurement methodology is most relevant depends on the application.
(a) Secondary coil open (b) Secondary coil shorted
Fig. 2.18 Measurement method-two.
The L1S is measured inductance when the is secondary coil is shorted, L1O is measured
inductance when the is secondary coil is opened.
1
1
24
able to compare the simulation calculated result of the single coil and obtained in the
experimental test gives the following result.
Fig. 2.19 Mutual inductance of measured and simulated
Fig. 2.20 Measured of coupling coefficient
1 2 3 4 5 6 7 8 9 10 10
15
20
25
30
35
40
50
60
70
80
90
100
3.1 Structure and Operation Principle of WPT
The wireless power transmitter is designed with commercial components such as
communicates, power transmission, current sensor and step-down voltage regulator. In this
circuit utilizing STM32F103MCU is controls the other parts. The overall system's block
diagram is shown below.
Fig. 3.1 Block diagram of transmitter for single charging
Basically, the energy is radiated by the TX coil (in block 10) when the AC voltage is applied
to the TX-Coil. There is a capacitor in series with the TX-Coil, to maximize the TX coil's
26
current at the resonant frequency. The current flowing through the TX-Coil can be high at
the resonant frequency due to the RC circuit's behavior. Thus the AC voltage is given via
the MOSFET transistor circuit. There are two half-bridge MOSFET transistor circuits
functioning as a full-bridge switching circuit (block 6 and 7) in both side of the LC resonant
circuit (block 10). In other words the LC resonant circuit is driven by a full-bridge
switching circuit consisting of two half-bridge transistor circuits. Each half-bridge circuit
contains two MOSFET transistors. In the switching operation these two transistors should
work alternatively as follows one is open while other one is closed or reversely. In order to
make the MOSFET transistors in the half-bridge circuit work properly, the MOSFET driver
circuit/chip is used (block 4 and 5) and a pulse signal defining the AC voltage's frequency
is given to this MOSFET driver from the MCU (block 11). This implies that the AC
voltage's frequency is defined by the MCU and it gives to control the radiating power level.
If transfer power low it set the frequency far from resonant frequency or power high it set
the frequency closer to resonant frequency.
For the MCU it is essential to be able to measure the current flowing through the TX
coil and the input voltage, in order to calculate radiated power. A current sensing resistor
(1) is used to measure the current flowing through the TX coil and it is on the current path
of the two half-bridge MOSFET driver and RC resonant circuit. The voltage dropping on
the current sensing resistor expresses the current value, thus a differential amplifier/current
shunt monitor (block 2) is used to amplify the voltage. The MCU can measure the current
level with the output voltage of the current shunt monitor. Also input voltage is given to
the MCU through the voltage divider circuit (block 3). The MCU’s operating voltage is 3.3
V and it is fed by the low noise voltage regulator that regulates 3.3 VDC. The charge status
indication scheme (block 12) is used to provide more selectable charging status and to be
used for the power saving mode. There are two LEDs in connected to the digital pin of
MCU indicates the charge status by blinking or lightning the LEDs. The communication
circuit (block 8) provides pulse signal to the MCU, Demodulates the received signal from
27
the wireless power receiver via this circuit. MCU functions are detects the RX-module,
measures the input voltage and current flowing through the TX-coil, generate frequency to
control transmitting power, decode the received data from RX-module, calculate the system
efficiency, and indicate the charging status. Calculation of the total efficiency of wireless
power transmission uses following block diagram.
MOSFET
Rectifier
Fig. 3.2 Block diagram of simplified system efficiency
Total system efficiency easily calculated with DC Powers, measures the DC input Voltage
and Current calculate the Power of WPT, also measure the DC charging voltage and current
calculate output power of WPR.
Total efficiency: * * OUT T TX COUPLING RX
IN
P
P = = (3.1)
where TX is the efficiency of WPT, RX is the efficiency of WPR, COUPLING is
inductive coil coupling efficiency, it will described in Coil Design in chapter 2. Wireless
power transfer devices are using inductive coupling technology. The induced voltage of
implanted devices highly depends on factors such as mutual inductance between the
external transmitter coil and the receiver coil.
28
3.2 Structure and Operation Principle of Multiple WPT
Multiple charger of wireless power transmitter side main concept is charging
sequentially and based on single charging WPT module. Charing pad can be charge two
TX-Battery module same time, up to 12 RX-battery modules sequentially. Hardware
solutions are low cost, less component, relay-based TX-Coil switching circuit, high-
efficient and 15-watt charging power.
TX-B MCU-2
COIL
B1
COIL
B2
COIL
B6
TX-A
MUX-A
COIL
A1
COIL
A2
COIL
A6
Fig. 3.3 Overall block diagram of multiple charger
The multiple charging pad has two symmetric charging circuits for simultaneously
chargeable two RX-battery modules. First charging side is ‘A’, it connects first transmitter
six coils (from 1 to 6) and the other charging side is ‘B’, it connects second transmitter six
coils (from 7 to 12). MUX-A and MUX-B is multiplexing circuits for switches charging
circuit to charging cells. Charging one cell contains transmitter coil, IR detector sensor, IR
receiver, and indication LED. MCU-1 is controlling MUX circuits, it drives multiplexing
circuits and MCU-2 is charging controlling TX circuits, it controls charging procedure on
29
both side A and B. the Block TX-A and TX-B is charging circuits, that is based on wireless
power transmitter (WPT) for single charging. Following figure illustrates detailed block
diagram and its function for multiple charging system.
Full
Bridge
B
Full
Bridge
A
MOSFET
driver
MOSFET
driver
Charging
Control
MCU
#2
TX coil-8
TX coil-2
1516
Fig. 3.4 Block diagram of WPT for multiple charging
Design used two MCU (block 9 and 10), first MCU #1 function is circuit driver. It is
controls multiplexing circuits (block 7, 8), such as IR object detector, IR receiver
(demodulator circuit), LEDs for charging indication each charging cell, Relay TX-Coil
switching. Also tells to detected cells and enable to charging start. also ask the charging
30
state, after charging complete it controls to switch next charging cell. The other MCU#2
function is charging controller, it is controls transmitted power by frequency (block 3, 4, 5,
6), decode the received signal from receiver circuit (block 7, 8), monitors the transmitting
current and voltage (block 1, 2, 12), enable or disable DC to AC converting circuit when it
is detects over current level (block 13, 14). The multiplexing circuit (Block 7, 8) has two
type of multiplexing circuit, first one analog and digital signal multiplexing for sensors, IR
receivers, the another one is multiplexing power circuit of TX-Coil with relay-based
circuits. When there is no charging activity, the TX system enters the standby (Power
Saving) mode. In standby mode, all peripheral devices powered off and only Driver
MCU#1 itself runs in low power state, MCU timer periodically activated to sense reflected
IR light straight changes to know if an object are placed on TX charging area. The standby
current of the overall TX charging pad can be as low as 7mA under 18V input voltage
condition.
31
3.3 DC to AC Converter
For wireless power transmitter side main critical part is DC to AC converting circuit,
supplied 18VDC input and converting to AC power using through full-bridge circuit. The
full-bridge as an effective method to provide AC excitation to a WPT system. Including
the inductive coil and compensation capacitor, as shown in Fig. 3.5. the MOSFETs are
driven by PWM signals (PWM_A, PWM_B) with synchronous MOSFET Driver, and it is
convenient to adjust the switching frequency and duty ratio to regulate the system power.
Series CP capacitor is usually used to compensate the coupling inductor LP from the primary
side.
R(ON)
R(ON)R(OFF)
RSENSE
R(OFF)
LP
A
B
C
D
PWM_BPWM_A
Fig. 3.5 DC to AC converting based on a full-bridge
Full-bridge circuit drives a current flowing through TX-coil both direction with four N-
channel MOSFETs, if during half of cycle of PWM signal, the MOSFETs(A, D) are open
and the MOSFETs (B, C) are closed, that means the current direction flows to right, the
other cycle of PWM signal, the MOSFETs(A, D) are close and MOSFETs(B, C) are open,
32
so the current flows to left, also MOSFET controlling gate voltage levels are different
between upper side transistors and lower side transistors. The MOSFET driver circuit is
based on commercial IC (TPS28225D), the supply voltage range for operation is 4.5 to 8V,
in our design supplied 5VDC from voltage regulator. All MOSFET driving voltage levels
and waveforms shown in Fig. 3.6.
(a) Low Level Gate Controlling
(b) Up level Gave controlling
(c) Middle point of coil and capacitor at without load
Fig. 3.6 Wave form of DC to AC converter
33
Lower side voltage level is same as IC supplied voltage level 5V, upper side voltage level
VIN+VBS=18V+4.5V. VBS is Bootstrap circuit in Driver IC. The power transfer can work
normally by adjusting the TX operating frequency from 100 kHz to 205 kHz with fixed 50%
duty cycle. In this design, utilized series to series induction link to power transfer, describes
the basic operation process of LC resonant inverter.
L2L1
Fig. 3.7 Inductive link between WPT and WPR
Showing in the fig. 3.7. is simplified equivalent schematic, for this circuit we can write
equations for primary side and secondary side of the inductive link [18, 19].
Firstly resistances:
1 ( ),
1 ( ),
Z R j L
(3.2)
In general, coupling can be expressed by formula: m mR j L+
34
Secondly voltages and currents in all circuit (source and load):
1 1 2
1 ( ) ( )
V Z I Z I

= +
= + + = − + +
Z
2 1 2
− (3.4)
As seen from previous equation by controlling Z1 and Z2, it is changeable by frequency.
Derived formulas for power in the load and source:
2 2
Re | |
| | Re[ ( ) ] | | | |
Z P Z I
Z Z Z Z P V I I R I
Z Z Z
( )
2
P Z Z Z ZZ Z Z
Z R
(3.6)
35
Frequency dependence we can see from the next stage: m mZ j L= , 1 1Z R= , 2 2 LZ R R= +
2
LP R e

= =
+ + + + (3.7)
Use LCR meter (MIT 9216A and Agilent E4980A) to measure inductance L and quality
factor Q of a coil. All calculations done with frequency 100 kHz (L1, L2: 10-30μH, Q1,
Q2 > 80).
Measured Calculated
L2 27 µH ωL2 16
Q1 90 R1 0.17
For the design principles of resonant components parameters considered two points. First
one is set a resonant frequency (depends on transmitting power), second one, configure a
suitable Q (quality factor) value to output required power in specific operational range. To
facilitate power transfer control, set the system operating frequency on the right side of LC
resonant frequency. If transfer higher power, set the operating frequency fTX close to the
resonant frequency or if transfer lower power set the fTX far form resonant frequency.
P o
w er
36
3.4 Current and Voltage Monitoring
Current sensing method is using low resistance shunt resistor, and measuring it’s
dropped voltage utilizing operation amplifier with fixed gain. The shunt resistor connected
to input common-mode voltage (VIN) and load (Full bridge). Also apply a differential
voltage directly to the amplifier inputs VIN+ and VIN-, amplifier internally settled fixed Gain
= 50 with resistor combination. This design used voltage-output, current-shunt monitor
(also called current-sense amplifier), another function is over current protection.
VIN
RSENSE
Fig. 3.9 Current sensing circuit of transmitter coil
The current sensing circuit parameters are: RSENSE = 20mohm, Gain = 50, amplifier supply
voltage = 3.3Vdc, 160 Hz RC LPF, 12bits ADC of MCU. In transmitter side easily measure
TX-coil current, and calculate with following equation.
TX* I *OUTV R G= (3.8)
Where VOUT is output voltage of current sensing amplifier.
TX
* I
OUT
37
The current sensing deferential amplifier has linear output, figure 3.9 shows relationship
between output voltage and shunted current ITX.
VMAXVMIN
IMIN
IMAX
ITX
VOUT
Fig. 3.10 Output voltage of current sensing amplifier
Voltage sensing circuit is used simple voltage divider, its input voltage level is greater than
ADC measuring voltage range, so scaled it down ten times using R1 and R2 resistors. After
Input voltage scaled down, LPF reduces noise level and give the signal to the ADC.
R1
R2
Fig. 3.11 Voltage sensing circuit of input voltage
Over current protection circuit is presented in fig. below. Applying voltage to the load,
current flow through the RSENSE=25m, and other part through the Amplifier with gain
38
G=50, then Low Pass Filter. Next stage is comparator that has threshold level that
comparing to input current all the time. If the level of input current exceeds threshold level,
it sends signal to MCU to turn-off the operation.
VIN
RSENSE
3.5 Foreign Object Detecting
Foreign object detecting implemented by infrared reflected wave sensing circuit, it
sends 1us length pulse using IR LED and measures the reflected IR wave from the object
surface using IR sensing diode.
VSENSE ADC
39
3.6 Software Implementation of WPT
The WPT software implemented none Qi standard, IR communication, IR object
detection, power transfer control program, system status indication, standby (power saving)
mode, programming based on CPU’s Standard Peripherals library. Utilizing MCU is
chosen by under condition, high resolution frequency output (frequency range 100-
205kHz), strong ALU architecture. MCU used peripherals are GPIO, ADC, Timer,
External Interrupt, Timer Interrupt, and USART interface. Also the MCU’s software
configuration shown in following fig 3.X
MCU
Fig. 3.14 Software initialization on MCU of WPT
MCU reads the Load (Transmitter coil) Current, Voltage, temperature, IR object sensing
values using by 12 bits analog to digital converter (ADC). Software implementation of over
current detection is dual checked with external interrupt and ISENSE (current value) are
compared with threshold level. Communication port used GPIO (general propose
input/output) and decodes the bi-phase bit encoded signal with timer 3. That
communication signal detailly described in chapter V. Transmitting power controlling
output frequency 100-205kHz with 0.1kHz resolution generated by timer 1, FOUTA and
FOUTB outputs are 180 phase different variable frequency, OOPC (output of over current
40
protection) is enable or disable AC to DC converting circuit, and LED status indication to
use to show charging status are used with GPIO.
Start
External interrupt
41
Multiple charging used two MCU, the hardware implementation is based on the
single charging, so MCU#2 function is dual charging controlling operation as like
as single charging. MCU#1 is controls multiplexing circuits and detects WPR
modules. IR detection used IR Pulse, measured it’s reflected intensity converted to
analogy signal. MCU#1 controls the MUX circuit to connect with detected charging
cells. Multiple charging system controlling microcontrollers software configuration
shown in following figure 3.14.
MCU
#1
Program status
MCU
#2
42
Start
Set charging enable
Fig. 3.17 Flow chart of driver circuit controlling MCU#1
43
Start
Set Feed back to the MCU#1 Set Feed back
to the MCU#1
44
3.7 Efficiency Analysis of WPT
Wireless power transmitter circuit has generally two type of loss, first one is DC to AC
converting circuit losses, the other one is auxiliary circuit losses. system efficiency
proportionally depends on power losses and circuits configuration must minimize the
power loss. Most critical components with higher current ratting circuits shown figure 3.18,
shunt resistor (RSENSE = 20m), MOSFETs resistance (RDC(ON) =13m), resonant LC
circuit (ZLC ~= 204m).
Fig. 3.19 Critical parameters of power transfer circuit
Measured power consumption shown in following figure 3.19. when the measure full-
bridge switching loss, Tx-coil removed from WPT and both terminals of the full-fridge was
open. In other word while measuring the power consumption, no current flows through into
Tx-Coil.
Half
bridge
MOSFET
circuit
PTX
45
Measured and calculated power losses are shown in table 3.1 and total consuming power
822.4mW.
Circuit Power loss
Total power losses 822.4mW
Where full-bridge switching loss is current through the MOSFET at the moment of turn-
off. This energy multiplied by the frequency gives us the switching power losses of a single
MOSFET. In this case due to capacitance that added in parallel between the drain and
source of the MOSFETs, shown in the datasheet, MOSFET open resistance RDS(ON)=9.4m
when the opening voltage VGS=4.5V. its calculated power loss is PLOSS = RDS(ON)·I2 OUT =
9.4mOhm×1A×1A=9.4mW. Single charging WPT circuit uses series connected voltage
regulators, that two regulators are not high-efficient and consuming power losses a lot.
Resonant LC circuit loss calculated at 1A charging current.
46
Here is also measured power consumptions of multiple wireless power transmitter
shown in figure 3.21 and table 3.3.
Half bridge
Multiplexing circuits 0.5mA
Table. 3.3 power consumption of multiple WPT
Circuit Power loss
3.8 Schematic of WPT
Schematic of main microcontroller for single charging WPT, utilizing MCU is
STM32F103CBT6 with auxiliary circuit.
(a) Indication LEDs (b) Reset button (c) User button
Fig. 3.23 Program indication and user interfaces
where (a) is LEDs to show the MCU program indication and charging status, (b) is reset
button, (c) is user button to utilized for software test.
48
Schematic of 5VDC output step-down voltage regulator and 3.3VDC output low noise
LDO shown in figure 2.23.
(a) 5Vdc output
Fig. 3.24 Step-down voltage regulators
An infrared receiver is used to receive digital signal from WPR to WPT, the demodulated
output signal can be directly decoded by MCU.
Fig. 3.25 IR receiver circuit
49
The schematic of the single phase full-bridge inverter and current sensing circuit with over
current detection are shown in figure 3.22.
Fig. 3.26 DC to AC conversion full-bridge
50
Schematic of main microcontrollers for multiple charging WPT, one is controls
multiplexing circuits, the other is controls charging operation.
(a) Multiplexing circuit controller MCU#1
(b) Charging controller MCU#2
Fig. 3.27 Controller board MCUs of WPT
51
Operating frequency inverting and non-inverting circuit for DC to AC converter shown
below figure 3.28.
Fig. 3.28 Frequency inverting and non-inverting circuits
Output voltage 5VDC and 3.3V step-down voltage regulators are shown in figure
3.29.
52
Current sensing circuit with over current detection circuit shown in figure 3.29. the
current sensing circuit used differential amplifier with shunted resister, output
signal filtered by RC low pass filtering circuit.
(a) A-side
(b) B-side
53
AC to DC converting full-bridge circuit based on synchronous MOSFETs driver IC and
used four MOSFETs. Multiple charging circuit contains two full-bridging circuits for
charging two modules same time and one full-bridge has two terminals (FBA-P, FBA-N
and FBB-P, FBB-N) to connect transmitter coils, shown in figure 3.30. that terminals
connected with relay-based switching circuit, it shows in figure 3.31.
(a) A-side
(b) B-Side
Fig. 3.31 Full-bridge circuit
The transmitter coils one side is commonly connected to the terminal N and the other side
is connected by relays to the terminal P.
54
This relay-based switching circuit contacts only one side of transmitter coil and relay
controlled by serial to parallel latch buffer.
Fig. 3.32 Relay-based coil switching circuit
55
IR sensing circuits to detect foreign objects using IR reflected wave method. that detecting
circuit uses IR LEDs to transmit and IR diodes measured reflected wave. MCU checks this
detecting circuits every 0.125 second one by one using multiplexing circuit.
Fig. 3.33 Array of IR object detecting circuits
56
Low power consumption IR receiver divided by two groups, first six IR receiver connected
to the A-side multiplexing circuit, the other six IR receiver connected to B-side
multiplexing circuit. Charging controller MCU can communicate two RX module same
time using following two group of receiver circuit.
Fig. 3.34 Array of IR receiver circuits
57
Digital serial input parallel output circuit latch buffer circuit used for LED’s to indicate the
charging state of charging and detected cells.
Fig. 3.35 Array of charging state indication
58
4.1 Overall Structure and Operation Principle of WPR
A Wireless system consists of a charging pad (transmitter or primary) and secondary-
side device (receiver or secondary). There is a coil in the charging pad and in the receiver
device with are magnetically coupled to each other when the secondary is placed on the
primary. Power is then transferred from the transmitter to the receiver via coupled inductor
(RX and TX Coils with Ferrite sheet block 5) and the RX module powered up and wake
from Power-down mode. Full wave half synchronous rectifier circuit converts received AC
induced voltage to DC voltage (block 3, 4), When the RX module powered up, digital-
packets are transmitted from the receiver to the transmitter by IR Modulator circuit (block
11).
IOUT
POUT
Fig. 4.1 Block diagram of wireless power receiver module
The communication used bi-phase bit encoding IR transmitter, it is described in chapter V.
MCU checks the received voltage RMS level VOUT, if it is higher than existing battery
59
voltage level VBAT ON/OFF Switch circuit (block 8) open and charging start. After charging
start, MCU reads sensors of charging current (block 1) and charging voltage (block 6, 7),
send charging information to WPT every 1000ms. RX-Module used rechargeable four cell
Li-ion batteries (block 12), the charging voltage range from 14V to 17.2V, charging current
1A until charging voltage reaches the set level (17.2V), it means battery capacity up to 80%,
after that charging current decrease slowly until full charge of the battery [20]. While
charging it can detect over current (block 9) to the load due to dynamic situation or any
damage of circuits.
converters in low output voltage, higher output current system application. Ensuring the
proper timing of the gate drive signals for the SRs is an important task that to maximize
the design performance. In the synchronous rectifying (SR) is efficiency increased by
replacing the Schottky diode with MOSFETs must be driven in a complimentary manner
with a small dead time between their conducting intervals to avoid shoot-through. The
MOSFET operates in the third quadrant, because the current flows from the source to drain.
Synchronous converter always operates in continuous, even down to no load
MOSFET 4
Fig. 4.2 Full wave rectifying
During the dead time periods, the inductor current flows through the lower MOSFET’s
body diode. This body diode usually has very slow reverse recovery characteristic that can
adversely affect the converter’s efficiency. An external Schottky diode can be laced in
parallel with the low-side FET to hunt the body diode and prevent it from affecting the
converter’s performance the added Schottky can have a much lower current rating than
61
diode in a nonsynchronous converter because it only conducts during the small dead time
(which is typically less than a few percent of the switching cycle) when both MOSFETs
are off.
The advantage of using SR in high-performance, high-power converters include better
efficiency, lower power dissipation, better thermal performance, lower profile, increased
quality. Finally, as the output current continues to increase, so that the voltage drop across
the SR, RDS(ON), approaches that of the Schottky rectifier VF, I this design has half
synchronous full wave rectifier circuit, it is controlling lower side MOSFET driver circuit
but higher side MOSFET controlling has some difficulty to drive with high level voltage
and high speed synchronous controlling.
62
4.3 Rechargeable Battery
The circuit of recharging the batteries in a portable product is an important part of any
power supply design. The complexity (and cost) of the charging system is primarily
dependent on the type of battery and the charging time. In this design, wireless charging
receiver side used ICR18650 3000mAh a cylindrical type lithium cobalt oxide battery.
lithium-ion battery technology is widely used in portable electronics products, such as
computers, power tools, and electric bikes. Lithium-ion batteries offer higher power and
energy per unit weight and volume and better charge efficiency than nickel hydride (NiMH)
batteries. A key attraction of lithium-ion batteries is the cell voltage, approximately 2.75 to
4.2V.
Fig. 4.4 Discharge voltage and current with fixed load
Normal average discharge voltage is about 3.6V, and most of the usable cell capacity is
delivered between 4.0 and 3.5V. the following chart shows discharging voltage and current
with fixed 10 watt load.
0 10 20 30 40 50 60 2.8
3.0
3.2
3.4
3.6
3.8
4.0
4.2
63
The following graphic shows typical discharge curves for cell when discharge at 0.066C
(0.2A) rate, 0.166C (0.5A) rate, 0.333C (1A) rate, 0.666C (3A) rate, and 1.0C (3A) rate,
each battery has its own characteristic nominal voltage and discharging curve.
Fig. 4.5 Discharge capacity of li-ion battery
battery temperature affects battery performance and life. Therefore, battery thermal
management is critical to achieving desired performance and extended battery life.
Fig. 4.6 Discharge voltage levels with varies load
0 2 4 6 8 10 12 14 16 2.8
3.0
3.2
3.4
3.6
3.8
4.0
4.2
3.0
3.2
3.4
3.6
3.8
4.0
4.2
5.0A
3.0A
0.5A
64
A Constant voltage charger sources current into the battery in an attempt to force the
battery voltage up to a pre-set value. Once this voltage is reached, the charger will source
only enough current to hold the voltage of the battery at this constant voltage (hence, the
reason it is called constant voltage charging), at present, the major Li-ion battery cell
manufacturer recommends 4.200 50SETV mV= as the ideal set point voltage, and 1C as
the maximum charging current that can be used. The accuracy of on the set point voltage
is critical, if set voltage is too high, the number of charging cycle can be reduced (shortened
battery life). if the voltage is too low, the cell will not be fully charged. One Cell Li-ion
battery 0.33C charging curve shown in figure 4.7.
Fig. 4.7 Li-ion single cell battery charging curves
The charging limit (sometimes called constant current) phase of charging is where the
maximum charging current is flowing into the battery, because the battery voltage is below
the setting point. The charger sense this and source maximum current to try to force the
battery voltage up. About 65% of the total charge is delivered to the battery during
maximum current constant charging. Assuming a 1C charging current, it follows that this
0 50 100 150 200 250 300 2.8
3.0
3.2
3.4
3.6
3.8
4.0
4.2
4.4
t(A )
0.0
0.5
1.0
1.5
2.0
2.5
3.0
65
portion of the voltage of the charge cycle will take a maximum time of about 40 minutes.
The constant voltage portion of the charging voltage cycle begins then the battery voltage
sensed by the charge reaches 4.20V. At this point, the charger reduces the charging current
as required to hold the sensed voltage at voltage constant at 4.2V, resulting in a current
waveform that is shaped like an exponential curve. The constant decreasing charge current
during the keeping constant-voltage, Li-ion charge time is nearly two hours, even though a
1C (maximum) charging current used (this means that delivering the final 35% of the
charge takes about twice as long as the first 65%). ICR-18650 Battery has its own built-in
protection shown in figure 4.8.
CID
PTC (Positive temperature coefficient) is placed under positive-electrode cap, and it is
a thin and compact way to limit the current coming out of an individual cell, when the
amount of current is so much that the cell-tip is getting hot. If PTC starts to get hot, its
66
resistance dramatically increases, so that hopefully less current can pass through it. CID
(Current Interrupt Device) is a simple and compact device, when enough pressure has built
up inside a cell, and it’s located just below the PTC. The only reason any pressure would
develop inside a cell is a because some of the electrolyte has converted from a thick gel
into a gas due to experiencing too much heat. This production is done during the recharging
phase where electrical energy is converted into chemical energy (working principle of
battery) with gases and heat release by this process. CID device protection electrically
disconnect the cell if an over-pressure (>10 bars) occurs inside it.
Advantages of Lithium-ion batteries are high energy density hence lot of energy can be
stored, charge lost by li-ion batteries is as low as 5 percent per month as compared to NIMH
batteries which has 20 percent charge loss per month, do not need to be discharge
completely, hundreds of charges and discharge available and the battery has its own built-
in protection circuit. Disadvantages of Lithium-ion batteries have short life of 2 to 3 years
from the date of manufacture no matter they are used are not, degrade much faster if they
are exposed to heat as compared to the normal temperature exposure because they are
extremely sensitive to high temperatures, easy to ruined if they are completely discharge,
and small risk of not properly manufactured is as low as 2 to 3 packs per million batteries
produced are may defective.
4.4 Software Implementation
The WPR software implemented none Qi standard, IR communication for send feed-
back to WPT, battery temperature monitor, system status indication, power saving mode,
programming based on CPU’s Standard Peripherals library. Utilizing MCU is STM32F103
used peripherals are GPIO, ADC, Timer, External Interrupt, timer interrupt, and USART
interface. Also the MCU’s configuration software setting shown following fig 4.X
MCU
ISENSE
VOUT
VBAT
TBAT
LEDS
Reset
Fig. 4.9 Software initialization on MCU of WPT
MCU reads the Load Current, Voltage, battery heating temperature, using by 12 bits analog
to digital converter (ADC). Software implementation of over current detection is dual
checked with external interrupt and ISENSE (current value) are compared with threshold level.
Communication port used GPIO (general propose input/output) and decodes the bi-phase
bit encoded signal with timer 3. That bi-phase encoding basics in chapter V. IR modulator
carrier frequency FCOMM=38 kHz generated by timer 1, OOCP (output of over current
protection) is made open or close MOSFET switch circuit of charging battery, and LED
status indication to use to show charging status are used with GPIO.
68
Start
No
less
69
4.5 Efficiency Analysis of WPR
Wireless power receiver circuit has also two type of loss, first one is AC to DC
converting circuit losses, the other one is auxiliary circuit losses. system efficiency
proportionally depends on power losses and circuits configuration must minimize the
power loss. Most critical components with higher current ratting circuits shown figure 4.13,
shunt resistor (RSENSE = 20m), MOSFETs resistance of rectifier (RDC(ON) =9.4m) and
Schottky diode (VF = 0.35 to 0.45V), resonant LC circuit (ZLC ~= 204m).
VRMS TX-Coil
CTX LTX
RSENSE RDC(ON)
ON/OFF Switch
Down-side Rectifier
Fig. 4.11 Critical parameters of power receiver circuit
Measured power consumption shown in following figure 4.14. when the measure full-
bridge switching loss, Tx-coil removed from WPT and both terminals of the full-fridge was
open. In other word while measuring the power consumption, no current flows through into
Tx-Coil.
Battery
64%50%
70
Measured values of power consumption illustrated in table 4.1, resonant LC circuit loss
calculated at 1A charging current, total power loss measured PWPTLOSS =0.784W
Table. 4.1 Measured power consumption of WPR
Circuit Power loss
Current Sensing 3mW
Shunt resistor 20mW
Schottky diode 350mW
MOSFET (CSD17308Q3) 9.4mW
Total power losses 955.2mW
Where schottky diode is used for AC to DC converting circuit in rectifier, its open forward
voltage level VF = 0.350V to 0.450V, that parameters shown in the datasheet of
components. when charging current at 1A, power loss of the diode is calculated by
following formula PLOSS =VF·IOUT=350mV×1A=350mW. MOSFET open resistance
RDS(ON)=9.4 m (N-channel CSD17308Q3 VGS=4.5V), RDS(ON)=9.4m (P-channel SiS413
VGS =10V). also, power loss of the replaced MOSFET is calculated by following formula.
PLOSS = RDS(ON)·I2 OUT = 9.4mOhm×1A×1A=0.0094W
71
Schematic of the microcontroller with auxiliary circuit for wireless power receiver module
is shown following figure 4.13 and 4.14.
Fig. 4.13 Main MCU of WPR
(a) User button (b) Reset button (c) Indication LEDs
Fig. 4.14 Program indication and user interfaces
72
Schematic of 5VDC output step-down voltage regulator and 3.3VDC output low noise
LDO shown in figure 4.15. Also, battery temperature monitoring circuit and IR transceiver
circuit are shown in figure 4.16 and 4.17.
(a) 5VDC output
(b) 3.3VDC output
Fig. 4.17 IR transceiver
Schematic of full-wave rectifying circuit, current sensing and power on/off switching
circuits are shown in figure 4.17.
Fig. 4.18 Rectifier, ON/OFF switch and Current sensor with OCP
74
V. Communication and Control
5.1 Qi Communication Standard
Wireless power Consortium (WPC) has developed standard called Qi, which defines the
types of inductive coupling and the communication protocol to be used for low-power
wireless devices, that is creates interoperability between the power transmitter and the
power receiver. Qi-complaint wireless devices used amplitude-shift keying (ASK)
modulation to communicate between the power receiver and power transmitter. Amplitude
shift keying (ASK) is a relatively simple modulation scheme. ASK is equivalent to the
amplitude modulation of the analog signal, and the carrier frequency signal is multiplied
by binary digital [R40].
Fig. 5.1 Binary amplitude shift key modulated wave
The frequency and phase of the carrier are kept constant, and the amplitude is variable.
Information bits are passed through the carrier’s amplitude. It is called binary amplitude
shift keying (2ASK) because the modulation signal can take only two binary levels, 0 or 1.
The power receiver communication to the power transmitter using backscatter modulation,
the power transmitter detects this as a modulation of the voltage across the primary coil.
The Qi standard determines that the operation frequency should be within a 100-205kHz
range, modulated data frequency 2kHz [41].
The power receiver shall use a differential bi-phase encoding scheme to modulate data
bits into the power signal, each data bit to a full period tCLK, that clock signal shall have a
75
FCLK=2±4% kHz. The receiver encodes “ONE” bit using two transitions in the power signal,
such that the first transition coincides with the rising-edge of the clock signal, and the
second transition coincides with the falling-edge of the clock signal. The Receiver shall
encode a ZERO bit using a single transition in the Power Signal, which coincides with the
rising-edge of the clock signal. The following figure shows an example.
tCLK
Fig. 5.2 Bi-phase bit encoding
b1 b3b2 b4 b5 b6 b7b0Start Parity Stop
Fig. 5.3 A byte structure
The power receiver shall communicate to the power transmitter using data packets. As
shown in figure 5.4, packet consists of four parts, namely a preamble, a header, a message,
and a checksum. The Preamble consists of 11 to 25 bits, enables the power transmitter to
synchronize with the incoming data and accurately detect the start bit of the header, the
Header consists of a single byte that indicates the packet type, the Message up to 27 bytes
that includes actual information of charging, the Checksum consists of a single byte, which
enables the power transmitter to check for communication errors [41].
Preamble Header Message Checksum
Fig. 5.4 Packet structure
5.2 IR Communication
Infrared radiation has two range, near infrared light is closest in wavelength to visible
light, while far infrared is closer to the microwave region of the electromagnetic spectrum.
The shorter waves are used by this design to made this simplify communication for WPR
to WPT. Infrared technology offers important advantages for wireless charging system,
such as wirelessly communicate, lower power consumption, larger gap signal transmission,
non-dependent from noise level, simplified modulator and demodulator circuits, decreases
power dispassion and can be maximize system efficiency. Due to that reasons, our
development design used IR communication instant of ASK communication.
The IR LED is an infrared transmitter designed for infrared serial data links and feed-
back from wireless power receiver to wireless power transmitter. Data present is modulated
with carrier frequency of 38 kHz, The IR interface is very easy to configure and uses two
signals provided by two MCU’s timers, which is carrier frequency and data signal to an
infrared diode driver circuit.
Fig. 5.5 Hardware configuration of IR transmitter
IR communication is based on the TSOP384 receiver IC, it is simplified the communication
circuits and improved performance. As was mention before, all kinds of metal objects can
wrongly start the process of sending power from TX that lower the efficiency of the project
and have some dangerous issues for work of the transmitter part. To exclude this problem,
as a decision IR communication was chosen.
77
5.3 Charging Control
Charging controlling implemented by WPT’s MCU with an algorithm to adjust charging
current and voltage, monitor the transmitting and receiving powers, Overcurrent detection,
and over battery heating detection (RX-side batter temperature sensing). Charging current
controlled by proportional-integral-derivative (PID) algorithm with IOUT, VOUT, FTX
parameters, these IOUT and VOUT are feed-back of charging controlling system, FTX is main
argument of charging controlling system output.
PTX
Fig. 5.6 Measuring parameters for charging control
Charging controlling range of output voltage 14VDC to 17.2VDC and output current 1A
to 0.15A. Charging current controlled at 1A (0.33C) normal charging mode and takes three
hours until full charging capacity. RX module used series four cell battery, it means
charging voltage level increased four times.
78
VI. Fabrication
6.1 Coil
The result of the study showed that the most important aspect of wireless power transfer
is the coupling between the transmitter and receiver coils. That coil design should be very
precise in order to minimize energy losses. The induction and coupling coefficient of the
coils should always match positioning with smaller gap. One of key in this development
use as small as possible size of coil for project requirement and study with simulation and
measurement method. Utilizing transceiver and receiver coils are symmetric and
commercial component, shown in figure 6.1,
Fig. 6.1 Coil
and testing of prototype is important part of this project that shows correctness and
successful of the whole design. As was seen from previous chapters, most losses coming
from the coil coupling. So first of all, we check our coils with the method described in
chapter II. Results of the measurement satisfy our assigned task (Fig. 2.20)
79
6.2 Single Charging Pad
View of the designed PCB board in Altium Designer is shown in Fig. 6.10 shows
already printed and soldered PCB board with all required elements on it. The view of the
transmitter board is shown in Fig. 6.10.
Main
MCU
80
6.3 Multiple Charging Pad
Multiple charger top side cover is made by none transparent material due to IR signals
interferences to charging at same time. Also TX board is the main station of the system
with quite big area. That’s done for ability to charge two RX devices at the same time,
twelve RX devices sequentially. On the Fig. 6.11 artwork of the transmitter part of the
wireless power charging system is shown.
(a) Controller board for WPT
(b) Driver board of WPT
Fig. 6.3 PCB artwork of multiple charger 3D view
81
Fig. 6.12 shows fully fabricated and assembled view of the wireless power transfer system,
transmitter part. As was said before, big area of the board was done in purpose of charging
multiple receivers sequentially.
Fig. 6.4 Assembled board of multiple charger
82
Fig. 6.5 TX board in case view with top plate
Because TX part has big area and need to have opportunity to communicate with IR system,
and moreover, need to withdraw the weight of the RX part together with power tool, the
case as in fig. 6.13 was designed.
83
6.4 RX-Battery Module
RX board is an instrument that has to be combined with power tool battery. Exactly
with the help of this receiver board, battery of the power can be wirelessly charged. Battery
for power tool was discussed and chosen in chapter V. Next step was to create case for
board and battery that can be easy attached to power tool. Design of the case is shown in
fig. 6.14
(b) 3D view of Art-work
Fig. 6.6 PCB Artwork of receiver module
In Fig. 6.14 PCB artwork of the receiver part with all components is shown. With the help
of this artwork the real one was fabricated and fully soldered as shown in fig. 6.15.
84
Board includes such elements as RX coil connector, Battery connector, rectifier, IR
transmitter, MCU and others important part for correct working.
`
85
Casing oh the RX board with the battery is important part. Design depends what kind of
power tool will be used with this RX part. Besides, it is almost not critical for transmitter
part, that woks like separate device. As a result, in Fig. 6.8 and Fig. 6.9 receiver part in case
with battery and this RX case connected with power tool is shown.
Fig. 6.8 Battery in the receiver module
Fig. 6.9 Prototype of receiver module
86
6.5 Prototype
Assembled prototype of medium power multiple wireless charger applied with power drill
shown in figure 6.10 and 6.11.
Fig. 6.10 Prototype of receiver module connected to power tool
Fig. 6.11 Prototype of multiple charging set with power tool
RX
7.1 Test Procedure
The first step for each test was to measure the parameters of coupled inductive power
coils. The two-method test approach described in chapter 2.xx was used to determine the
mutual inductance and the coupling coefficient of IPT coils, measured result is shown in
fig. 2.19, 2.20 fig with 1mm gap between transmitter and receiver coils.
The parameters obtained and calculated form this test were used in the formulas
presented in chapter 3, to estimate the rms values of the currents that flows through the
circuits during operation and the efficiency.
Design everything as small as possible, it requires smart design of power elements
distribution and calculation of all power consumption on each element. Measurement of
power consumption described in previous chapters 3 and chapter 4, that are show power
losses of critical components.
Power consumption and losses of wireless power transmitter was discussed in chapter 3.8
(table 3.1), also wireless power receiver circuits were reviewed in chapter 4.4 (table 4.1).
The total consumption of each devices and coupling coefficient of inductive coils shown
in following table 7.1.
Devices Consuming power
multiple charging 854mW
Calculated Efficiency 81.8% to 82%
Estimated overall efficiency power of th