6
PowerField: A Transient Temperature-to-Power Technique based on Markov Random Field Theory Seungwook Paek 1 [email protected] Seok-Hwan Moon 2 [email protected] Wongyu Shin 1 [email protected] Jaehyeong Sim 1 [email protected] Lee-Sup Kim 1 [email protected] 1 Department of Electrical Engineering 2 Convergence Components & Materials Research Lab. KAIST ETRI Daejeon, 305-701, Korea Daejeon, 305-700, Korea ABSTRACT Transient temperature-to-power conversion is as important as steady-state analysis since power distributions tend to change dynamically. In this work, we propose PowerField framework to find the most probable power distribution from consecutive thermal images. Since the transient analysis is vulnerable to spatio-temporal thermal noise, we adopted a maximum-a-posteriori Markov random field framework to enhance the noise immunity. The most probable power map is obtained by minimizing the energy function which is cal- culated using an approximated transient thermal equation. Experimental results with a thermal simulator shows that PowerField outperforms the previous method in transient analysis reducing the error by half on average. We also ap- plied our method to a real silicon achieving 90.7% accuracy. Categories and Subject Descriptors: C.4 [Performance of Systems]Measurement Techniques General Terms: Algorithms, Verification, Experimenta- tion, Measurement Keywords: Power, thermal imaging, post-silicon verifica- tion, Markov random field 1. INTRODUCTION Low power consumption and thermal safety are becoming major design considerations [1, 2]. For these goals, designers should estimate the power distribution and thermal behav- ior at design time in an acceptable accuracy. Those physical phenomina are getting hard to be predicted as fabrication technology evolves. To resolve the problem of these uncer- tainties, the power model has to be validated with post- silicon power verification. However, the direct post-silicon power measurement is quite expensive since it requires a number of on-chip measurement circuitries inside a chip[3]. In practice, it is infeasible to measure the dense power map Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. To copy otherwise, to republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. DAC 2012, June 3-7, 2012, San Francisco, California, USA. Copyright 2012 ACM 978-1-4503-1199-1/12/06 ...$10.00. 0ms 10ms 20ms Thermal map Applied power pattern Steady- state estimation Figure 1: Motivating example: results of a steady- state temperature-to-power technique on transient thermal images. directly. This is the motivation of an indirect power measurement using thermal imaging devices. Since power dissipation di- rectly affects thermal map, it is reasonable to find an in- verse transformation from temperature to power. Several approaches were proposed to extract the power map from thermal images. The first work is refered to as Spatially- resolved Imaging of Microprocessor Power (SIMP)[4]. In this technique, the relationship between the steady-state temperature map and the power map is described as the following equation: A · P = T (1) where T , P and A are temperature, power and thermal re- sistance matrices respectively. Each entry of the matrix T and P represents a unit silicon segment which is called as a thermal node. SIMP method also proposed a well-organized measurement setup using a scanning laser beam to obtain the matrix A. Once we get A and T , P is obtained by solving (1) using a linear least-square technique[4]. Based on SIMP method, several works had brought novel improvements by adopting regularization theory[5], constrained Levenberg- 630 28.1

PowerField: A Transient Temperature-to-Power Technique

  • Upload
    others

  • View
    4

  • Download
    0

Embed Size (px)

Citation preview

Page 1: PowerField: A Transient Temperature-to-Power Technique

PowerField: A Transient Temperature-to-Power Techniquebased on Markov Random Field Theory

Seungwook Paek1

[email protected] Moon2

[email protected]

Wongyu Shin1

[email protected] Sim1

[email protected] Kim1

[email protected] of Electrical Engineering 2Convergence Components & Materials Research Lab.

KAIST ETRIDaejeon, 305-701, Korea Daejeon, 305-700, Korea

ABSTRACT

Transient temperature-to-power conversion is as importantas steady-state analysis since power distributions tend tochange dynamically. In this work, we propose PowerFieldframework to find the most probable power distribution fromconsecutive thermal images. Since the transient analysis isvulnerable to spatio-temporal thermal noise, we adopted amaximum-a-posteriori Markov random field framework toenhance the noise immunity. The most probable power mapis obtained by minimizing the energy function which is cal-culated using an approximated transient thermal equation.Experimental results with a thermal simulator shows thatPowerField outperforms the previous method in transientanalysis reducing the error by half on average. We also ap-plied our method to a real silicon achieving 90.7% accuracy.

Categories and Subject Descriptors: C.4 [Performanceof Systems]Measurement Techniques

General Terms: Algorithms, Verification, Experimenta-tion, Measurement

Keywords: Power, thermal imaging, post-silicon verifica-tion, Markov random field

1. INTRODUCTIONLow power consumption and thermal safety are becoming

major design considerations [1, 2]. For these goals, designersshould estimate the power distribution and thermal behav-ior at design time in an acceptable accuracy. Those physicalphenomina are getting hard to be predicted as fabricationtechnology evolves. To resolve the problem of these uncer-tainties, the power model has to be validated with post-silicon power verification. However, the direct post-siliconpower measurement is quite expensive since it requires anumber of on-chip measurement circuitries inside a chip[3].In practice, it is infeasible to measure the dense power map

Permission to make digital or hard copies of all or part of this work forpersonal or classroom use is granted without fee provided that copies arenot made or distributed for profit or commercial advantage and that copiesbear this notice and the full citation on the first page. To copy otherwise, torepublish, to post on servers or to redistribute to lists, requires prior specificpermission and/or a fee.DAC 2012, June 3-7, 2012, San Francisco, California, USA.Copyright 2012 ACM 978-1-4503-1199-1/12/06 ...$10.00.

0ms 10ms 20ms

Thermal

map

Applied

power

pattern

Steady-

state

estimation

Figure 1: Motivating example: results of a steady-state temperature-to-power technique on transientthermal images.

directly.This is the motivation of an indirect power measurement

using thermal imaging devices. Since power dissipation di-rectly affects thermal map, it is reasonable to find an in-verse transformation from temperature to power. Severalapproaches were proposed to extract the power map fromthermal images. The first work is refered to as Spatially-

resolved Imaging of Microprocessor Power (SIMP)[4]. Inthis technique, the relationship between the steady-statetemperature map and the power map is described as thefollowing equation:

A · P = T (1)

where T , P and A are temperature, power and thermal re-sistance matrices respectively. Each entry of the matrix T

and P represents a unit silicon segment which is called as athermal node. SIMP method also proposed a well-organizedmeasurement setup using a scanning laser beam to obtainthe matrix A. Once we get A and T , P is obtained by solving(1) using a linear least-square technique[4]. Based on SIMPmethod, several works had brought novel improvements byadopting regularization theory[5], constrained Levenberg-

630

28.1

Page 2: PowerField: A Transient Temperature-to-Power Technique

Marquardt(LM) algorithm [6] and AC thermography tech-nique[7].However, the previous works have a limitation in com-

mon that all the thermal images have to be steady-state.Indeed, these techniques cannot capture the correct powermap if the power pattern changes in runtime. For example,many microprocessors support chip-level dynamic power andthermal management for low-power consumption and ther-mally safe operation[3, 8]. As the trend of runtime poweroptimization in chip’s operation grows, a need for correctverification of temporal power changes also increases. Thus,to be more useful, a temperature-to-power converter shouldsupport not only steady-state but also transient analysis.While Martinez et al. proposed a transient power analysisof a microprocessor by using genetic algorithm[9], the reso-lution was limited to a functional block level.Fig. 1 shows a stark difference between a steady-state es-

timation and the actual power map when transient thermalimages are provided. In this example, a significant resid-ual image of power hinders us from recognizing the actualpower pattern. For many designs with more complex time-varying power patterns, the steady-state technique is notlikely to produce the correct power distribution. Obviously,the fundamental reason of this misestimation is that the in-termediate thermal images are assumed to be steady-state.Motivated by this observation, we aim to design a new

framework based on a transient heat transfer equation. Inorder to cope with high noise sensitivity of transient anal-ysis[6], we borrowed maximum-a-posteriori Markov randomfield (MAP-MRF) framework[10] including physical model-ing and optimization method from computer vision whichis already proven to be robust to spatio-temporal noise inmany inverse estimation applications such as stereo match-ing[11] and video denoising[12]. Using this framework, wefind the most probable solution by regarding the power mapas a two-dimensional field of random variables. When weconstruct a random field of power, two intrinsic propertiesof planar thermal systems are considered - the temperaturedistribution calculated by the power estimation has to besmooth in spatial domain and the temperature change ofa thermal node is affected only by the node itself and theneighboring nodes.Taking these into account, we propose a new framework

for transient temperature-to-power conversion referred to asPowerField. Given two consecutive thermal images withtime interval ∆t, we calculate an energy function whichmeasures how the current power estimation is not proba-ble. The energy function reflects the abovementioned ther-mal properties. Then the PowerField algorithm finds themost probable power distribution by minimizing the energyfunction using a global optimization algorithm. In this work,we used graph-cuts optimizer[13] which efficiently minimizesthe MRF-based energy function.We demonstrate the performance of PowerField in two

different ways. The first experiment is done with HotSpotthermal simulator[14]. In this experiment, we show thatPowerField outperforms previous methods in transient anal-ysis. Then we move on to an analysis of an FPGA chip withcontrolled power generation patterns in a similar way to theprevious works[5, 7].The major contributions of this paper are summarized as

follows.

• A new formulation of transient temperature-to-power

conversion problem based on MAP-MRF framework(Section 2,3).

• An approximate transient heat transfer formula whichgreatly simplifies the problem by exploiting the ther-mal measurement data(Section 3.3).

• Demonstration of the effectiveness of PowerField, animplementation of proposed framework, in transientanalysis using thermal simulator and real world mea-surement data (Section 4).

The remainder of this paper is organized as follows. In Sec-tion 2, we introduce MAP-MRF framework and show howit is used to solve the temperature-to-power inversion prob-lem. Section 3 describes the problem formulation of tran-sient analysis by defining the energy function and the objec-tive. In addition, we derive an approximate transient heatequation to efficiently compute the energy function. Thenwe show the evaluation results in Section 4. Finally, weconclude and discuss the future work in Section 5.

2. BACKGROUNDMRF is a probability theory mostly used for analyzing

the spatio-temporal dependencies of physical phenomena.Many image analysis and interpretation problems can beposed as labeling problems in which the solution is a set oflabels assigned to image pixels. In temperature-to-powerproblem, the power value of each thermal node correspondsto a label. A labeling problem is specified in terms of a setof sites and a set of labels. A site can be a pixel or a setof pixels depending on the formulation of the thermal nodenetwork. For spatial representation, a set of site S and aneighborhood system N are defined:

S = {1, ...,m}, N = {Ni|∀i ∈ S} (2)

in which 1, ...,m are indices. Let L be a set of labels whichcan have one of M discrete values:

L = {0, ...,M − 1} (3)

Then we can define a family of random variables:

F = {F1, ..., Fm} (4)

on the set S. In F , each random variable Fi takes a valuefi in L. f = {f1, ..., fm} is called a labeling. The family F

becomes an MRF on S with respect to N if and only if thefollowing two conditions are satisfied:

P (f) > 0, ∀f ∈ F (positivity) (5)

P (fi|fS−{i}) = P (fi|fNi) (Markovianity) (6)

The Markovianity depicts the local characteristics of F , i.e.for each site, only neighboring sites have direct interactionswith each other. With an aproximation that the heat flowsthrough the neighboring thermal nodes for a small ∆t, thepower distribution over the thermal node network can bemodeled as an MRF. Once the thermal system is modeledinto MRF, what we want is to find the labeling f∗ whichmaximizes the posterior probability P (f |d) where d is theobservation. This estimation technique is called a MAP-MRF framework. According to the MAP-MRF theory [10],P (f |d) is represented as follows:

P (f |d) ∝ e−U(f |d) (7)

631

28.1

Page 3: PowerField: A Transient Temperature-to-Power Technique

where

U(f |d) = U(d|f) + U(f) (8)

=∑

p∈S

Dp(dp, fp) + λ∑

{p,q}∈N

Vp,q(fp, fq) (9)

is the posterior energy in which λ controls the ratio of twoenergy components. In (9), Dp(dp, fp) measures how the la-bel fp is unlikely to produce the observation dp and Vp,q(fp, fq)denotes how fp disagrees with the intrinsic characteristicsof the random field such as smoothness of the temperaturedistribution. Dp(dp, fp) and Vp,q(fp, fq) are also known asa data energy and a smoothness energy respectively. (9)implies that maximizing the posterior probability P (f |d) isequivalent to minimizing the posterior energy U(f |d). Thus,we can apply energy minimization algorithms to U(f |d) tofind the optimal labeling f∗.

3. PROBLEM FORMULATIONIn this section, we formulate the problem by defining each

energy term Dp(dp, fp) and Vp,q(fp, fq) in (9). The data en-ergy and the smoothness energy jointly contribute to the fi-nal solution by considering error reduction and themal smooth-ness at the same time. The objective of the problem is tofind the power configuration (labeling) f∗ that minimizesthe posterior energy U(f |d).

3.1 Data EnergyAs introduced in Section 2, the data energy depicts the

unlikeliness of the current labeling when a set of observationis given. For each thermal node p, our data energy is definedas an absolute difference between the measured temperatureand the calculated temperature at t = ∆t as follows:

Dp(dp, fp) = |Tp∆t(dp)− Tp(∆t, fp)| (10)

where Tp∆t(dp), Tp(∆t, fp) are the observed and the calcu-lated temperatures respectively.

3.2 Smoothness EnergyMinimizing only the data energy may not lead to the opti-

mal solution since the measurement data contains consider-able noise. In order to cope with this problem, a smoothnessenergy is introduced which drives the solution to satisfy ourexpectation. Even if the algorithm gets non-smooth thermalimages, it is expected that the calculated temperature dis-tribution with the estimated power has to be smooth whichis the prior knowledge of the thermal system. Thus, thesmoothness energy is defined to be proportional to a differ-ence between the calculated temperature of a thermal nodeand its neighbors at t = ∆t:

Vp,q(fp, fq) = |Tp(∆t, fp)− Tq(∆t, fq)|α (11)

where α controls the shape of the smoothness function. Since(11) is defined in a pairwise manner, it is computed for everypair of thermal nodes in the neighborhood system N .

3.3 Approximate Heat Transfer FormulaIn this section, we describe a thermal modeling to com-

pute the temperature of a thermal node p at t = ∆t whichis an essential part of energy computation. Specifically, theobjective of this section is to derive a formula of Tp as afunction of fp and t given the observed temperatures of ev-ery thermal nodes at time 0 and ∆t. As shown in Fig. 2,

Tc

RcR

C Ip

Tp

dw

dh

dt

Dimension Electrical model

Figure 2: A thermal model used in this work.

our thermal model is based on a well-known lumped RC net-work model. Each pair of the die segments is connected bythermal resistors R. Each thermal node has its own powersource and thermal capacitor which are denoted by Ip andC respectively. The secondary heat dissipation paths suchas air and C4 pads are merged into a single thermal nodewith Rc having constant temperature Tc during the time in-terval ∆t. This approximation is reasonable since they haverelatively high heat capacity and the ∆t is very short (typ-ically milisecond order). We assume that the thermal char-acteristics are uniform over the entire die, so every thermalresistance and capacitance have the same values of Rc, Rand C as follows:

Rc =1

k

dt

dwdh, R = 0.5

1

k

dw

dhdt, C = 0.333cdwdhdt (12)

where k and c denote the thermal conductance and the spe-cific heat capacity of silicon respectively. The scaling factorsof 0.5 and 0.333 in R and C are borrowed from the HotSpotthermal model[14]. Once the thermal behavior is modeled ina lumped RC network, we can apply various circuit analysistechniques to it. Based on Kirchhoff’s current law, a heatequation is described as:

n∈Np

(

Tn − Tp

R

)

+Tc − Tp

Rc+ Ip − C

dTp

dt= 0 (13)

where Np and Tn denote the set of thermal node p’s neigh-bors and the neighbor node n’s temperature. Then we canrewrite this equation to construct a first-order linear equa-tion of T and t as:

dTp

dt+

1

C

(

4

R+

1

Rc

)

Tp =1

C

n∈Np

(

Tn

R

)

+Tc

Rc+ Ifp

(14)

Note that Ip is replaced by Ifp where I denotes the powerper unit label. Directly solving (14) induces heavy compu-tational cost because Tn is also a function of Tp. Since weknow the observed temperature of the neighbor nodes, wecan approximate the temperature of neighbor nodes as alinear function of t:

Tn(t) = Tn0 +Tn∆t − Tn0

∆tt (15)

632

28.1

Page 4: PowerField: A Transient Temperature-to-Power Technique

where Tn0 and Tn∆t are the measured temperature of neigh-bor node n at t = 0 and ∆t respectively. This approximationallows Tp to be independent to the temperature of the neigh-boring nodes and simplify the problem since Tp becomes afunction of fp and t only. Applying (15) to (14) yields thefollowing:

dTp

dt+

1

C

(

4

R+

1

Rc

)

Tp =1

RC

n∈Np

(Tn0 + Tn∆t − Tn0)t

∆t

+Tc

Rc+ Ifp (16)

Solving (16), we get the solution of Tp as:

Tp(t, fp) = Tp0e−t/τ + T

∗(1− e−t/τ )

RC

n∈Np

(Tn∆t − Tn0) (17)

where

τ =

(

4

CR+

1

CRc

)−1

T∗ =

τ

C

n∈Np

Tn0

R−

τ

∆t

n∈Np

Tn∆t − Tn0

R+

Tc

Rc+ Ifp

3.4 Energy MinimizationOnce we have constructed the energy function, finally we

can find the most plausible power map by energy minimiza-tion techniques. In other words, the objective is to find thebest labeling f∗ minimizing the energy function among allthe possible configurations F :

f∗ = argmin

f∈FU(f |d) (18)

Since the MAP estimation for a discrete MRF is NP-hard ingeneral, we used an approximate technique called graph-cutssince it greatly reduces the computation time by using fastiterative expansion and swap algorithms[13]. The algorithmis guaranteed to find a strong local minimum of the energywithin |L| iterations. In practice, the optimization processis generally finished in 5 - 10 iterations.

4. EVALUATIONIn this section, we performed two experiments with simu-

lation results and real world measurement data. The errorsare calculated by using rounded power maps which is pro-posed in previous works[5, 7].

4.1 PowerField FrameworkAll the features described in previous sections are inte-

grated into our PowerField implementation. As shown inFig. 3, the system receives two consecutive thermal imagesfrom a thermal imaging device or a thermal simulator. Ini-tially, all the power estimation values are set to zero and thesystem computes the corresponding energy function. Thenthe graph-cuts algorithm generates a power estimation byminimizing the energy function. This process is iterated un-til the energy reaches the lowest value.

4.2 HotSpot Simulation ResultsBefore we apply PowerField to real world thermal images,

a fully controlled experiment with an accurate thermal sim-ulator is performed. We used Hotspot thermal simulator

Thermal

model+

-

Energy FunctionOptimizer

DataSmoothnessEstimated

power

t = 0 t = t

Calculated

temperature

t = t

Measured

temperature

Figure 3: Overview of PowerField framework.

Table 1: Important Parameters for Evaluation usingHotspot Simulator

Hotspot Parametersdt 500µm

dw,dh 250µmk 100.0 (W/m-K)

c 1.75× 106 J/m3-KSimulated Runtime 20 msec

∆t 10 msecFloorplan checker (64×64)

Power Trace spot, dacpowerPowerField ParametersM 100

I 1.0× 10−3 Wα 2.0

λ 1.0× 10−6

which provides reliable results compared to accurate finiteelements analysis[14]. The simulated runtime is set to 20mswith time interval of 10ms that reflects the microproces-sors’ thermal time constant (5ms to 300ms)[15]. The de-tailed configuration of Hotspot simulator is shown in Table 1.Two power traces are applied in this experiment. The firstone has a simple one-point heat source (Fig. 4-(a)) whichhelps to understand the difference between the steady-statemethod and PowerField intuitively and another one, nameddacpower (Fig. 4-(b)), is used to demonstrate the superior-ity of our method for complex power patterns. Initially, welet the silicon to be steady-state with initial power distri-bution. Then we abruptly (at 0ms) switch the distributionto a very different one. As shown in Fig. 4, the estimationresults with previous method contain an amount of afterim-age which disrupts identifying the correct power patterns.In contrast, PowerField outperforms the previous method intransient analysis of one-point and dacpower patterns withaverage errors of 0%, 6.6% respectively.

4.3 FPGA Measurement ResultsWe applied PowerField technique to a Xilinx Virtex-4

633

28.1

Page 5: PowerField: A Transient Temperature-to-Power Technique

0ms 10ms 20ms

Thermal

map

Applied

power

pattern

PowerField

estimation

Rounded

power map

(PowerField)

Steady-state

estimation

Rounded

power map

(steady-state)

0ms 10ms 20ms

Error 0% 0% 7.6% 5.6%

5.7% 1.5% 14.4% 10.2%0% 10.8%Error

(a) Simple one-point power pattern(spot) (b) Complex power pattern (dacpower)

Figure 4: Transient analysis using HotSpot[14] thermal simulator.

9.62mm

14.5mm

2.0

mm

Figure 5: Floorplan of the micro heaters.

FPGA chip with pre-defined power generation patterns. Thethermal imaging device (FLIR A325) captures the infraredsignals from the backside of the chip. The signal is stored asa raw data of the sensor response and converted to a tem-perature value using the calibration method described in [7].Then the images are filtered using spatial low-pass filter to

remove the significant high frequency noise.Fig. 5 shows our floorplan which consists of 3 × 12 mi-

cro heater blocks containing 36 free-running ring oscillators.Each micro heater consumes 46.5mW on the average. Two36-bit registers are used to store two different enable-signalsfor micro heaters to construct the desired power patterns.Using an external switch, we toggle between two power pat-terns for transient analysis. For the experiment, a transi-tion of power pattern from ’COLD’ to ’HOT’ is used. Thethermal imaging device captures the transient thermal mapswith 16ms time interval which is less than half of the entirethermal map transition. As shown in Fig. 6, our algorithmeffectively estimates the correct power pattern even whenthe thermal map is changing and 90.7% accuracy on aver-age was achieved with rounded power maps.

5. CONCLUSIONSIn this work, we propose the use of computer vision tech-

nique, MAP-MRF framework, for transient temperature-to-power inversion problem that is more general situation inreal world post-silicon verification. By exploiting its robust-ness against spatio-temporal noise, PowerField succesfully

634

28.1

Page 6: PowerField: A Transient Temperature-to-Power Technique

Thermal

map

Applied

power pattern

PowerField

estimation

Rounded

power map

(PowerField)

0ms 16ms 32ms

8.3%Error 13.9% 5.6%

Figure 6: Transient analysis using an FPGA with a transition of power pattern from ’COLD’ to ’HOT’.

estimates the correct power map from time-varying ther-mal images. Experimental results with a thermal simulatorshows that PowerField outperforms the previous method intransient analysis reducing the error by half on average. Wealso applied our method to a real silicon achieving 90.7%accuracy. Our future research direction is to devise a way toobtain the model parameters such as R, Rc and C directlyfrom the measurement similar to the scanning laser beam in[4] for more reliable estimates.

6. ACKNOWLEDGEMENTSThis work was supported by Basic Science Research Pro-

gram through the NRF of Korea funded by the MEST (No.2011-0000320, No.2011-0018357), IDEC, and the IT R&D pro-gram of MKE/KEIT. [KI002134, Wafer Level 3D IC Designand Integration]

7. REFERENCES[1] D. Brooks, R. P. Dick, R. Joseph, and L. Shang,

“Power, thermal, and reliability modeling innanometer-scale microprocessors,” IEEE Micro,vol. 27, pp. 49–62, June 2007.

[2] W. Huang, M. R. Stant, K. Sankaranarayanan, R. J.Ribando, and K. Skadron, “Many-core design from athermal perspective,” in Proc. of Design Automation

Conf., pp. 746–749, June 2008.

[3] C. Poirier, R. McGowen, C. Bostak, and S. Naffziger,“Power and temperature control on a 90nm itaniumfamily processor,” in Int. Solid-State Circuits Conf.,pp. 304–305, Feb. 2005.

[4] H. Hamann, J. Lacey, A. Weger, and J. Wakil,“Spatially-resolved imaging of microprocessor power(simp): hotspots in microprocessors,” in The Tenth

Intersociety Conf. on Thermal and Thermomechanical

Phenomena in Electronics Systems, pp. 121–125, June2006.

[5] R. Cochran, A. N. Nowroz, and S. Reda, “Post-siliconpower characterization using thermal infraredemissions,” in Proc. Int. Symp. on Low Power

Electronics and Design, pp. 331–336, 2010.

[6] Z. Qi, B. H. Meyer, W. Huang, R. J. Ribando,K. Skadron, and M. R. Stan, “Temperature-to-power

mapping,” in Proc. Int. Conf. on Computer Design,pp. 384–389, Oct. 2010.

[7] A. Nowroz, G. Woods, and S. Reda, “Improvedpost-silicon power modeling using ac lock-intechniques,” in Proc. Design Automation Conf.,pp. 101–107, June 2011.

[8] P. Salihundam, S. Jain, T. Jacob, S. Kumar,V. Erraguntla, Y. Hoskote, S. Vangal, G. Ruhl, andN. Borkar, “A 2 tb/s 6 4 mesh network for asingle-chip cloud computer with dvfs in 45 nm cmos,”IEEE Journal of Solid-State Circuits, vol. 46,pp. 757–766, Apr. 2011.

[9] F. J. Mesa-Martinez, J. Nayfah-Battilana, andJ. Renau, “Power model validation through thermalmeasurements,” in Proc. Int. Symp. on Computer

Architecture, pp. 302–311, June 2007.

[10] R. Kindermann and J. L. Snell, Markov Random

Fields and Their Applications. AmericanMathematical Society, 1980.

[11] V. Kolmogorov and R. Zabih, “Computing visualcorrespondence with occlusions using graph cuts,” inProc. of Int. Conf. on Computer Vision, vol. 2,pp. 508–515, Jul. 2001.

[12] J. Chen and C.-K. Tang, “Spatio-temporal markovrandom field for video denoising,” in Conf. on

Computer Vision and Pattern Recognition, pp. 1–8,June 2007.

[13] Y. Boykov, O. Veksler, and R. Zabih, “Fastapproximate energy minimization via graph cuts,”IEEE Trans. on Pattern Analysis and Machine

Intelligence, vol. 23, pp. 1222–1239, Nov. 2001.

[14] W. Huang, S. Ghosh, S. Velusamy,K. Sankaranarayanan, K. Skadron, and M. Stan,“Hotspot: A compact thermal modeling methodologyfor early-stage vlsi design,” IEEE Trans. on Very

Large Scale Integration Systems, vol. 14, pp. 501–513,May 2006.

[15] F. J. Mesa-Martinez, E. K. Ardestani, and J. Renau,“Characterizing processor thermal behavior,” in Proc.

of Int. Conf. on Architectural Support for

Programming Languages and Operating Systems,pp. 193–204, Mar. 2010.

635

28.1