42
Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar.

Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

  • Upload
    others

  • View
    1

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

Presenters:Ing. Mauricio E. Caamaño B.Ing. Oscar A. Muñoz Alcazar.

Page 2: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

Agenda

1. What is Structural Design?

2. Logic and physical optimization process

3. Signoff flows in SD

4. Structural Design team skillset

5. What Defines DFM process?

6. LV process from DFM perspective: challenges

7. LV skillset

Page 3: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

3

What is Structural Design?In the context of civil engineering…

“The objective in structural design is to produce a structure capable of resisting all applied loads, according to design specifications, without failure during its intended life.”

Page 4: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

4

What is Structural Design?At Intel…

What happens in between?

• Circuit optimization cycle

• Sign-off checks.

Structural design engineers!

System Specification

Architectural Design

Functional Design and Logic Design

RTL Writing

Structural Design

DFM Layout Verification

Validation

Fabrication

Packaging and Testing

Chip

Floorplanning, partitioning

Logic Synthesis

APR

ECO and signoff

Page 5: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

Floor planning, logic synthesis, placement, clock tree synthesis, routing and ECO.

5

Structural Design process

Register Transfer Level (RTL)

StructuralDesign

Optimized Physical design

Page 6: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

6

Design hierarchy: Floorplanning:

The only way to manage a design of hundreds of millions of transistors is to break it up into smaller blocks.

• Functionality aware partitioning.

Floorplanning:Divide and conquer approach for SoC design

Full chip (FC) design

Sub design X

FUB A FUB B

Sub design Y

Sub design Z

Design challenges: area, aspect ratio, power and clock distribution.

FloorplanningPower

distributionLogic

SynthesisPlacement CTS Routing ECO

Page 7: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

7

Floorplan engineers:

• Determine sub designs area and shapes.

• Aligned pin placement.

Floorplan design:Fully abutted floorplan style

SD execution engineers:

• Each sub design has a dedicated team of experts working on it.

• EDA tools run on each fub.

• A full chip team integrates the complete design with the SoC.

FloorplanningPower

distributionLogic

SynthesisPlacement CTS Routing ECO

Page 8: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

8

Some considerations:

• Areas with higher frequency logic need a denser grid to deliver more current.

• Gated power is used for some areas of design to turn on/off certain logic blocks.

Design challenges: power dissipation and physical phenomena effects.

Power distributionPower / Ground network

Source: Wang, Laung-Terng et al “Electronic Design Automation: Synthesis, Verification, and Test”

FloorplanningPower

distributionLogic

SynthesisPlacement CTS Routing ECO

Page 9: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

9

Primary goal: transfer RTL description of the fub into a gate level netlist.

Design challenges: trade off between power, timing and area.

Logic SynthesisBehavioral to structural domain

always @(posedge clk) beginif (!reset) foo <= 0;else foo <= foo + 1;end

RTL

Gate level design

Logic Synthesis

Technology standard cell libraries

Constraints (time, area, power)

FloorplanningPower

distributionLogic

SynthesisPlacement CTS Routing ECO

Page 10: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

10

Placement: process of determining the locations of components on a die surface.

• Legalization: process of arrange cells in legal positions.

Design challenges: timing performance, routability, heat distribution, power consumption.

Placement optimizationSmart component positioning

Sea of placed cells

FloorplanningPower

distributionLogic

SynthesisPlacement CTS Routing ECO

Page 11: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

11

Up to this point, design has been using virtual clocks.

The purpose of CTS is to deliver clock signals to sequential elements by making interconnections from the clock generators in the chip to all subunits.

Clock Tree SynthesisReal clocks implementation

Source: Malnar, Branimir “Synthesis Flow for Designing a High Performance Mircoprocessor” 2012

FloorplanningPower

distributionLogic

SynthesisPlacement CTS Routing ECO

Page 12: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

12

Equal distribution of clock signal to all flip-flops and latches to avoid skew.

Global clock distributionClock network design for correct synchronization

Source: Wang, Laung-Terng et al “Electronic Design Automation: Synthesis, Verification, and Test”

FloorplanningPower

distributionLogic

SynthesisPlacement CTS Routing ECO

Page 13: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

13

Routing OptimizationGlobal and detailed routing

Routing defines precise paths for conductors that carry electrical signals to interconnect all pins electrically equivalent.

Design challenges: wire length, timing constraints, manufacturing design rules (resistance, capacitance, metal layer spacing, wire/via width, etc.)

Placed design Global routing Detailed routing

Source: Peter Moon et All: “Process and Electrical Results for the On-Die Interconnect Stack for Intel’s 45nm Process Generation” Intel Technology Journal V.12 I2.

Source: Wang, Laung-Terng et al “Electronic Design Automation: Synthesis, Verification, and Test”

FloorplanningPower

distributionLogic

SynthesisPlacement CTS Routing ECO

Page 14: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

14

Types of ECOs:

• Change in functional logic (gate-level netlist ECO)

• Compensation of design errors.

Pros:

• Save time: avoid going through complete synthesis flow.

• Save money: especially after mask design is done.

Design challenge: formal equivalence checking.

Engineering Change Orders (ECO)

Gate-level netlist ECO flow

Create patch

Apply patch on physical netlist

Compare non-

equivalent points

Physical Netlist (layout)

Modified netlist (after synthesis)

FloorplanningPower

distributionLogic

SynthesisPlacement CTS Routing ECO

Page 15: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

15

Sign-off verification flows in Structural Design

Timing

Verification

Formal Verification

Reliability

Verification

Layout Verification

Page 16: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

16

Timing is a major consideration in thesynthesis and physical implementation ofsynchronous digital circuits.

Static timing analysis (STA) is a method ofvalidating the timing performance by checkingall paths under worst-case conditions.

Two major factors in STA:

1. Cell delays

2. Interconnect delays and layout parasitics

Timing Verification (TV)Static timing analysis (STA)

Detailed RC parasitics.Source: Synopsys “PrimeTime User Guide”, 2014

Timing path.Source: Synopsys “PrimeTime User Guide”, 2014

Timing Formal Reliability

Page 17: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

17

Signal Integrity analysis allows to identify noise effects on circuit functionality due to crosstalk, distortion and loss caused by electromagnetic coupling.

Timing Verification (TV)Signal integrity

Source: Kaeslin, Hubert: “Digital Integrated Circuit Design, From VLSI Architectures to CMOS Fabrication” 2008, Cambridge University Press, New York

Timing Formal Reliability

Page 18: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

18

Formal Verification (FV)

Formal Verification proves the functional equivalence between two different representations of the same design.

Main steps:

– Translate both designs to a mathematical format in Boolean domain

– Establish correspondence between the two designs

– Prove equivalence or non-equivalence.

R1

R2

r1

r2

X

Y

X

Y?=

?=

R2 r2

R1 r1

X X

Y Y

Timing Formal Reliability

Page 19: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

19

Reliability Verification (RV)IR drop, electromigration, self-heating and more

RV covers several physical phenomena and electrical overstress conditions which may lead to immediate failure or gradual deterioration of a chip performance or functionality.

The aim of RV is to guarantee reliability (in performance and functionality) over the entire product life cycle.

Reliability verification checks Electromigration (EM), Self Heat (SH) and IR drop.

Self-heating caused wire to melt away

Electromigration

Timing Formal Reliability

Page 20: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

20

Structural Design engineering teams skillset

• Organization

• Debug & Analysis

• Teamwork

SD execution

owners

• Debug and analysis

• Self-driven

• Programming and scripting

• Problem solving

Signoff checks

• Teamwork

• Technical leadership

• Communication skills and networking

Technical Managers

Page 21: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows
Page 22: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

Layout Verification Team (LV) : focus area

• Key role to provide DFM tape in quality completion for given projects.

• DFM process is defined by technology, client and schedule aspects.

• Logic/analog circuit, base and metal fill challenges.

• LV is a multidisciplinary team that handles many aspects of DFM convergence.

• Roles and responsibilities, brief description.

22

Page 23: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

What defines Design for Manufacturability process (DFM) ?

Technology requirements:

Intel researches new processes and incoming technologies.

Defines tendency for next years (Moore’ law), cloud computing, internet-of-things, wearable tech.

new tech products faces many design and factory challenges, unexpected scenarios and new rules, based on real time experiences.

technology

Client

Schedule

Page 24: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

Customer specifications:

Major server, desktop, mobile and phone manufactures uses Intel-based systems.

Design methodologies must be developed to meet either client needs on time as well technology requirements.

Product cannot go to fab with DFM issues.

technology

Client

Schedule

Page 25: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

Schedule:

Product impacts market and company revenue.

Based on certain windows (CES, back-to-school, Christmas).

Product needs to bring all technical specifications at planned delivery time. technology

Client

Schedule

Page 26: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

LV Process: defined from DFM perspective

Design rules

Logical circuits

DFM

Base fill

Metal fill

Interaction of logical nets with surrounding components

Meet density rules for metal / via layers

Meets ground/power stability and density rules for diffusion and device-related layers

Chip meets yield expectative and technology quality

Page 27: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

Design challenge:convert a circuit design into a high volume product

Facts:

Meet DRC rules (end to end and gap separation) using signal nodes only is not possible with latest technologies.

Router cannot drop detailed results, runtime and rule complexity forces to match some partial checks.

Timing values are calculated (realistic, pessimistic), but until post silicon analysis all these data becomes real (critical for tech lead vehicle projects)

Vendor software is used for design and analysis (customized by enclose internal requirements), but complex issues needs in-house solutions.

Page 28: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

Metal fill challenges for DFM: be aware of new RC aggressor

Active and dummy fill will perform final DR completion, affecting directly RC, noise and circuit timing.

Page 29: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

Metal fill challenges for DFM: transition areas

Same layer width not used along the chip.

Cleanup to zero violations results complex, especially over transition areas.

Page 30: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

Base fill challenges: many layers at same level

Base layer represent a high amount of layers place in same level.

Connectivity, design rules and density must match technology requirements

The 32nm planar transistor (on left) illustrates current (represented by yellow dots) flowing in a flat plane under the gate, while Intel’s smaller 22nm 3-D Tri-Gate transistor (on right) illustrates increased current flow over three sides of a vertical fin.

Content from http://www.intel.com , called How Intel Makes Chips: Transistors to Transformations

Page 31: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

Engineering work: functions within LV team

LV is a multidisciplinary team that handles many aspects of DFM convergence.

Electronic engineers, VLSI expert, computer science engineers and project managers are part of the team.

Page 32: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

LV : team positions and responsibilities.

• Team management.

• People development

• Resources and assignments.

• Portfolio (long term strategy).

• Methodology definition.

• Project technical support

• Section/Chip integration

• Vendor-2-Intel tool sync.

• Custom SW solutions.

• Process automation.

• New technology research

• Analog and digital focus.

• Layout implementation.

• ECO application.

• Final DR cleanup.

• Last mile conversion

Physical Design

Engineer

Computer Science

Engineer

ManagerProcess / Project owner

Page 33: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

Physical Design Engineer (PDE).

Two specializations for this job title: analog and digital circuits.

Layout implementation :

Debugs layout verification flows and complex design problems.

ECO application:

Many detailed schematic changes can be done by synthesis, but incremental fixes can be done only by PDEs.

Final DR cleanup (Last mile conversion):

Get layout verifications ready for tape in, passing all design rules.

Ensure all necessary collaterals are generated for final chip level runs.

Page 34: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

PDE qualifications

• Layout debug.

• Understanding of process specifics (design rules, power constrains) as well technology characteristics (min and max density rules, new layer interactions, via rules, standard cells methodology, IP-block integration).

• Knowledge on external vendor tools for design and layout process, and many internal tool and scripts.

• Scripting (Perl/TCL/BASH) and LINUX© environment, net batch and interactive server usage.

• Top-secret and Confidential process information handling.

Page 35: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

LV : team positions and responsibilities.

• Team management.

• People development

• Resources and assignments.

• Portfolio (long term strategy).

• Methodology definition.

• Project technical support

• Section/Chip integration

• Vendor-2-Intel tool sync.

• Custom SW solutions.

• Process automation.

• New tech. research

• Analog and digital focus.

• Layout implementation.

• ECO application.

• Final DR cleanup.

• Last mile conversion

Physical Design

Engineer

Software Engineer

ManagerProcess / Project

owner

Page 36: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

Computer Science Engineer: DA (Design Automation).

External-vendor tools are designed to be used by many design companies.

DA team sync and configure software to meet internal project requirements

New technology requires many internal development to solve industry delay.

Some rules and patterns are intellectual property, must keep internal only.

New tools for custom or internal methodologies development.

Process automation:

Design process, such as synthesis, placement, routing and DR rules cannot rely on manual effort only, to meet time to market plans.

Page 37: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

DA qualifications

Understanding of process and project specifics, to develop utilities and solve automation issues.

Higher Scripting skills (Perl, TCL, bash, C, oriented-object, web technologies) as well industry related languages (Verilog, DEF, vendor software).

Project management and system development life cycle.

Top-secret and Confidential process information handling.

Process analysis, optimization and continuous improvement.

Page 38: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

LV : team positions and responsibilities.

• Team management.

• People development

• Resources and assignments.

• Portfolio (long term strategy).

• Methodology definition.

• Project technical support

• Section/Chip integration

• Vendor-2-Intel tool sync.

• Custom SW solutions.

• Process automation.

• New technology research

• Analog and digital focus.

• Layout implementation.

• ECO application.

• Final DR cleanup.

• Last mile conversion

Physical Design

Engineer

Software Engineer

ManagerProcess / Project

owner

Page 39: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

Project and Process Owners (POs)

Before a project is launched;

POs defines Project methodology, to be followed by SD/LV teams.

Execute pioneering tasks to evaluate and propose solutions.

In charge on many project technical day-to-day activities:

Solve project issues, and acts as main contact among several horizontal teams

Solve corner cases for any block under cleanup or design.

Experienced team members:

Drives many product activities across multiple geographies, such as full chip integration, final reviews and usually, are the ones delivering the databases to factory.

Main contact with other Intel design centers when the product needs to be converged

Page 40: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

LV : team positions and responsibilities.

• Team management.

• People development

• Resources and assignments.

• Portfolio (long term strategy).

• Methodology definition.

• Project technical support

• Section/Chip integration

• Vendor-2-Intel tool sync.

• Custom SW solutions.

• Process automation.

• New technology research

• Analog and digital focus.

• Layout implementation.

• ECO application.

• Final DR cleanup.

• Last mile conversion

Physical Design

Engineer

Software Engineer

ManagerProcess / Project

owner

Page 41: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

People / Project managers

• Set goals and define how success is measured.

• Plan, organize, and monitor the work.

• Maintain focus on continuous improvement of processes, methodologies, and looking for improvements.

• Standardize the work model and checklist further.

• Shape business direction using data and judgment.

• Build the team needed to deliver the results required, keep great place to work.

Page 42: Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A ......Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows

42