12
External Use / NCCAVS User Groups, 12 th June 2012 Process Integration for 2.5D/3D A Few Steps from the Summit Niranjan Kumar (On behalf of Sesh Ramaswami and Applied Materials TSV team) Product Marketing Manager, 3D IC TSV/WLP, Strategy Silicon Systems Group Event : Date: June, 2012 Silicon Systems Group

Process Integration for 2.5D/3D A Few Steps from the Summit...Process Integration for 2.5D/3D – A Few Steps from the Summit Niranjan Kumar (On behalf of Sesh Ramaswami and Applied

  • Upload
    others

  • View
    9

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Process Integration for 2.5D/3D A Few Steps from the Summit...Process Integration for 2.5D/3D – A Few Steps from the Summit Niranjan Kumar (On behalf of Sesh Ramaswami and Applied

R 140

G 140

B 140

R 220

G 220

B 220

R 69

G 153

B 195

R 254

G 203

B 0

R 255

G 121

B 1

R 234

G 40

B 57

R 155

G 238

B 255

R 146

G 212

B 0

R 75

G 75

B 75

R 6

G 30

B 60

External Use / NCCAVS User Groups, 12th June 2012

Process Integration for 2.5D/3D – A Few

Steps from the Summit

Niranjan Kumar (On behalf of Sesh Ramaswami and Applied Materials TSV team)

Product Marketing Manager,

3D IC TSV/WLP, Strategy

Silicon Systems Group

Event :

Date: June, 2012

Silicon Systems Group

Page 2: Process Integration for 2.5D/3D A Few Steps from the Summit...Process Integration for 2.5D/3D – A Few Steps from the Summit Niranjan Kumar (On behalf of Sesh Ramaswami and Applied

R 140

G 140

B 140

R 220

G 220

B 220

R 69

G 153

B 195

R 254

G 203

B 0

R 255

G 121

B 1

R 234

G 40

B 57

R 155

G 238

B 255

R 146

G 212

B 0

R 75

G 75

B 75

R 6

G 30

B 60

External Use / NCCAVS User Groups, 12th June 2012

Presentation Outline

Early Engagements to Industry Adoption

– Years in perspective, 2008-12

2.5D/3D TSV Process Flow / Integration

–Via-Middle process integration

–Technology extendibility to smaller TSVs

– Interposer TSV oxide liner and fill window

–Via-Reveal process integration

–Making TSVs affordable

2.5D Interposer Copper Interconnect

– Dual damascene wiring segment/solutions

– Leverage hardware/processes

Summary

Silicon Systems Group 2

End Markets : Mobile, Network/

Telecom, Gaming/Computing

Page 3: Process Integration for 2.5D/3D A Few Steps from the Summit...Process Integration for 2.5D/3D – A Few Steps from the Summit Niranjan Kumar (On behalf of Sesh Ramaswami and Applied

R 140

G 140

B 140

R 220

G 220

B 220

R 69

G 153

B 195

R 254

G 203

B 0

R 255

G 121

B 1

R 234

G 40

B 57

R 155

G 238

B 255

R 146

G 212

B 0

R 75

G 75

B 75

R 6

G 30

B 60

External Use / NCCAVS User Groups, 12th June 2012

TSV Process Flows

CVD Nitride/Oxide

Via/Trench Etch

PVD Barrier/Seed

Copper Plating

CMP Cu

3 Silicon Systems Group

Via-Middle / Via-Reveal

TSV Etch

CVD Oxide Liner

PVD Barrier/Seed

Copper Plating

CMP Cu

Edge Trim

Temporary Bond

Grind

CMP Si

Dry Recess Etch

CVD Nitride/Oxide

CMP Oxide

Below 200oC

Processes

Adhesive

Carrier (Glass, Silicon)

Bump

Up to 400oC

Processes

Ni (ECD)

SnAg

Edge Trim

Temporary Bond

Grind

CMP Si

Dry Recess Etch

CVD Nitride/Oxide

CMP Oxide

Interposer

TSV Etch

CVD Oxide Liner

PVD Barrier/Seed

Copper Plating

CMP Cu

Adhesive

Carrier (Glass, Silicon)

Bump

Ni (ECD)

SnAg

Via-Last

Edge Trim

Temporary Bond

Grind

CMP Si

CVD Nitride/Oxide

TSV Etch

CVD Oxide Liner

Oxide Bottom Etch

PVD Barrier/Seed

Copper Plating

CMP Cu

Adhesive

Carrier (Glass, Silicon)

Bump

Ni (ECD)

SnAg

Page 4: Process Integration for 2.5D/3D A Few Steps from the Summit...Process Integration for 2.5D/3D – A Few Steps from the Summit Niranjan Kumar (On behalf of Sesh Ramaswami and Applied

R 140

G 140

B 140

R 220

G 220

B 220

R 69

G 153

B 195

R 254

G 203

B 0

R 255

G 121

B 1

R 234

G 40

B 57

R 155

G 238

B 255

R 146

G 212

B 0

R 75

G 75

B 75

R 6

G 30

B 60

External Use / NCCAVS User Groups, 12th June 2012

TSV Via-Middle Characterized Within Integrated Framework

PVD CuBS

Hi step-coverage (Ta, Ti, Cu)

Gap-fill co-optimization

Via-Middle integration achieved at customer sites, challenges remain with narrow TSVs

1

5

3

4

2

CVD Liner

High step-coverage, film quality inside TSV

E-test properties, low leakage (Vbd), reliability (TDDB), Cu outdiffusion

CMP Cu

Low ILD loss (<10nm)

Accurate end point and profile control

Good post-CMP topography

Silvia Etch

Invia

CVD Liner

5x50um

~4%

Endura /

Charger

PVD

8x50um 8x100um

Raider

ECD

Reflexion

LK CMP

4 Silicon Systems Group

ECD Cu

Void-free fill, rate, stability

Low overburden

Low Cu pumping- Chem., Grain

Etch

TSV profile, low scallop

Depth uniformity

High ER / selectivity

*All SEMs Source : Applied Materials

Page 5: Process Integration for 2.5D/3D A Few Steps from the Summit...Process Integration for 2.5D/3D – A Few Steps from the Summit Niranjan Kumar (On behalf of Sesh Ramaswami and Applied

R 140

G 140

B 140

R 220

G 220

B 220

R 69

G 153

B 195

R 254

G 203

B 0

R 255

G 121

B 1

R 234

G 40

B 57

R 155

G 238

B 255

R 146

G 212

B 0

R 75

G 75

B 75

R 6

G 30

B 60

External Use / NCCAVS User Groups, 12th June 2012

Presentation Outline

Early Engagements to Industry Adoption

– Years in perspective, 2008-12

2.5D/3D TSV Process Flow / Integration

–Via-Middle process integration

–Technology extendibility to smaller TSVs

– Interposer TSV oxide liner and fill window

–Via-Reveal process integration

–Making TSVs affordable

2.5D Interposer Copper Interconnect

– Dual damascene wiring segment/solutions

– Leverage hardware/processes

Summary

Silicon Systems Group 5

TSV Etch

CVD Oxide Liner

PVD Barrier/Seed

Copper Plating

CMP Cu

Adhesive

Carrier (Glass, Silicon)

Bump

Ni (ECD)

SnAg

Interposer

Page 6: Process Integration for 2.5D/3D A Few Steps from the Summit...Process Integration for 2.5D/3D – A Few Steps from the Summit Niranjan Kumar (On behalf of Sesh Ramaswami and Applied

R 140

G 140

B 140

R 220

G 220

B 220

R 69

G 153

B 195

R 254

G 203

B 0

R 255

G 121

B 1

R 234

G 40

B 57

R 155

G 238

B 255

R 146

G 212

B 0

R 75

G 75

B 75

R 6

G 30

B 60

External Use / NCCAVS User Groups, 12th June 2012

Conformal Oxide Liner and Wide ECD Fill Window (10x100um) Iso TSV (Pitch~80um) Dense TSV (Pitch~20um)

Wafe

r C

en

ter

Wafe

r E

dg

e

Conformal liner and good fill achieved on wide inspection range

Invia Liner (2.5kA)

Step Coverage

~105%

7 Silicon Systems Group

*All SEMs Source : Applied Materials

Page 7: Process Integration for 2.5D/3D A Few Steps from the Summit...Process Integration for 2.5D/3D – A Few Steps from the Summit Niranjan Kumar (On behalf of Sesh Ramaswami and Applied

R 140

G 140

B 140

R 220

G 220

B 220

R 69

G 153

B 195

R 254

G 203

B 0

R 255

G 121

B 1

R 234

G 40

B 57

R 155

G 238

B 255

R 146

G 212

B 0

R 75

G 75

B 75

R 6

G 30

B 60

External Use / NCCAVS User Groups, 12th June 2012

Presentation Outline

Early Engagements to Industry Adoption

– Years in perspective, 2008-12

2.5D/3D TSV Process Flow / Integration

–Via-Middle process integration

–Technology extendibility to smaller TSVs

– Interposer TSV oxide liner and fill window

–Via-Reveal process integration

–Making TSVs affordable

2.5D Interposer Copper Interconnect

– Dual damascene wiring segment/solutions

– Leverage hardware/processes

Summary

Silicon Systems Group 8

Edge Trim

Temporary Bond

Grind

CMP Si

Dry Recess Etch

CVD Nitride/Oxide

CMP Oxide

Adhesive

Carrier (Glass, Silicon)

Bump

Interposer

Ni (ECD)

SnAg

Page 8: Process Integration for 2.5D/3D A Few Steps from the Summit...Process Integration for 2.5D/3D – A Few Steps from the Summit Niranjan Kumar (On behalf of Sesh Ramaswami and Applied

R 140

G 140

B 140

R 220

G 220

B 220

R 69

G 153

B 195

R 254

G 203

B 0

R 255

G 121

B 1

R 234

G 40

B 57

R 155

G 238

B 255

R 146

G 212

B 0

R 75

G 75

B 75

R 6

G 30

B 60

External Use / NCCAVS User Groups, 12th June 2012

Via-Reveal Process Integration Framework

(b) Si Recess Etch

Selectivity, reduced defects

Etch rate

Predictive TTV control

1

5

3

4

2

Reflexion

CMP Si

Avila

CVD SiN/SiOx

Temporary

Bond and Si

Grind

SilVia

Recess Etch

Reflexion

CMP SiOx

(d) CMP SiOx

End-point control

Tunable topography

Mechanical integrity of exposed TSVs with dielectric insulation

9 Silicon Systems Group

Optimize clean process for improved CVD film interface

(c) CVD Passivation (180C)

Stress tuning, Bow

Seam-free, interfacial adhesion

(a) CMP Si

Improves WIW TTV and WTW repeatability

Metrology & EP control

Advanced clean

Stress relief (a) (b) (c) (d)

*All SEMs Source : Applied Materials

Page 9: Process Integration for 2.5D/3D A Few Steps from the Summit...Process Integration for 2.5D/3D – A Few Steps from the Summit Niranjan Kumar (On behalf of Sesh Ramaswami and Applied

R 140

G 140

B 140

R 220

G 220

B 220

R 69

G 153

B 195

R 254

G 203

B 0

R 255

G 121

B 1

R 234

G 40

B 57

R 155

G 238

B 255

R 146

G 212

B 0

R 75

G 75

B 75

R 6

G 30

B 60

External Use / NCCAVS User Groups, 12th June 2012

Presentation Outline

Early Engagements to Industry Adoption

– Years in perspective, 2008-12

2.5D/3D TSV Process Flow / Integration

–Via-Middle process integration

–Technology extendibility to smaller TSVs

– Interposer TSV oxide liner and fill window

–Via-Reveal process integration

–Making TSVs affordable

2.5D Interposer Copper Interconnect

– Dual damascene wiring segment/solutions

– Leverage hardware/processes

Summary

Silicon Systems Group 11

CVD Nitride/Oxide

Via/Trench Etch

PVD Barrier/Seed

Copper Plating

CMP Cu

Adhesive

Carrier (Glass, Silicon)

Bump

Interposer

Ni (ECD)

SnAg

Page 10: Process Integration for 2.5D/3D A Few Steps from the Summit...Process Integration for 2.5D/3D – A Few Steps from the Summit Niranjan Kumar (On behalf of Sesh Ramaswami and Applied

R 140

G 140

B 140

R 220

G 220

B 220

R 69

G 153

B 195

R 254

G 203

B 0

R 255

G 121

B 1

R 234

G 40

B 57

R 155

G 238

B 255

R 146

G 212

B 0

R 75

G 75

B 75

R 6

G 30

B 60

External Use / NCCAVS User Groups, 12th June 2012

TSV and Interconnect Process Matrix

Applications Unit Platform Process Chamber

Etch Centura Silvia

CVD Producer

Invia (400oC Liner)

Avila SiN/SiOx (LT)

Optiva Oxide (LT)

Std. SiN/SiOx (400oC)

PVD Endura /

Charger

Voyager CuBS

Std. PVD Ti/Cu

ECD Raider Raptor

CMP Reflexion

LK

Cu CMP

Backside Process

Via Middle / Interposer Via Last

Via Reveal Interposer DD Interconnect

Adhesive

Carrier (Glass, Silicon)

Bump

Leverage via-middle/Via-reveal processes and hardware for Interposer

TSV and dual-damascene fabrication

13 Silicon Systems Group

Ni (ECD)

SnAg

Page 11: Process Integration for 2.5D/3D A Few Steps from the Summit...Process Integration for 2.5D/3D – A Few Steps from the Summit Niranjan Kumar (On behalf of Sesh Ramaswami and Applied

R 140

G 140

B 140

R 220

G 220

B 220

R 69

G 153

B 195

R 254

G 203

B 0

R 255

G 121

B 1

R 234

G 40

B 57

R 155

G 238

B 255

R 146

G 212

B 0

R 75

G 75

B 75

R 6

G 30

B 60

External Use / NCCAVS User Groups, 12th June 2012

Summary

TSV feature size converging near 5x50um at Logic/Foundry/Memory

for via-middle and 10x100um for Interposer

Via-middle/via-reveal integration characterized within integrated

framework and collaboration with industry eco-system

Developments show promise to extend oxide liner, barrier/seed and

ECD to smaller size ~2x40um TSVs

Driving multiple approaches for Interposer interconnect fabrication

while leveraging common TSV hardware platform

Silicon Systems Group 14

Page 12: Process Integration for 2.5D/3D A Few Steps from the Summit...Process Integration for 2.5D/3D – A Few Steps from the Summit Niranjan Kumar (On behalf of Sesh Ramaswami and Applied

R 140

G 140

B 140

R 220

G 220

B 220

R 69

G 153

B 195

R 254

G 203

B 0

R 255

G 121

B 1

R 234

G 40

B 57

R 155

G 238

B 255

R 146

G 212

B 0

R 75

G 75

B 75

R 6

G 30

B 60

External Use / NCCAVS User Groups, 12th June 2012 15

TSV Team Silicon Systems Group