63
References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases, Phil. Trans. Roy. Soc. (Lon- don) 142, 87 101 (1852) [2] Salzer Technologies Enterprises, Inc.: Synopsis — Spring Report 1988, Santa Monica, CA 90403 [3] O. Ambacher; Vacuum Solutions, 11 16, May/Jun 1999 [4] T. Whitaker: LED market ready for accelerated growth, LEDs Magazine March 2007 [5] M.A. Greenwood: LEDs Expected to Light More Automobiles, Photon. Spectra 42(4), 32 (2008) [6] Y. Nakane, T. Tsumori, T. Mifune: Semiconductor International, Jan/Feb 1979, p. 45 [7] K. Galloway: Semiconductor International, May/Jun 1979, p. 65 [8] G. Madland: Semiconductor International, Nov/Dec 1979, p. 33 [9] Semiconductor International, Dec 1998, p. 19 [10] W. Finckelnburg, H. Maecker: Elektrische B¨ ogen und thermisches Plasma, in Hand- buch der Physik, ed. by S. Fl¨ ugge, Vol. 22, Gasentladungen II, Springer-Verlag, Berlin, 1956, p. 254 [11] A. Uns¨ old: Der neue Kosmos, Springer-Verlag, Berlin, 1967, p. 114 [12] I. Langmuir: Oscillations in ionized gases, Proc. Nat. Acad. U.S. 14, 628 (1928) [13] E.W. McDaniel: Collision Phenomena in Ionized Gases, J. Wiley, New York, 1964, p. 683 [14] P.W. Atkins: Physical Chemistry, Oxford University Press, Oxford, 1st edn., 1978, p. 800 f. [15] L.D. Landau, E.M. Lifschitz: Lehrbuch der theoretischen Physik, Bd. 1: Mechanik, Akademie-Verlag, Berlin, 1970, p. 56 [16] H.S.W. Massey, E.H.S. Burhop: Electronic and Impact Phenomena, Vol. 1, Clarendon Press, Oxford, 1969, p. 6 f. [17] S.C. Brown: Introduction to Electrical Discharges in Gases, Wiley, New York, 1966, p. 10 [18] S.C. Brown: ibid, p. 11 [19] E.C. Bullard, H.S.W. Massey: The Elastic Scattering of Slow Electrons in Argon, Proc. Roy. Soc. (London) A130, 579 590 (1931) [20] S.C. Brown: ibid, p. 12 [21] J.C. Maxwell: The Scientific Papers of James Clerk Maxwell, Vol. II, ed. by W.D. Niven, Dover Publ., New York, NY, 1952, 26 78 [22] W.P. Allis, P.M. Morse: Theorie der Streuung langsamer Elektronen an Atomen, Z. Phys. 70, 567 582 (1931) [23] A.D. MacDonald, S.J. Tetenbaum: High Frequency and Microwave Discharges, Chap- ter 3 in Gaseous Electronics, Vol. I, ed. by M.N. Hirsh and H.J. Oskam, Academic Press, New York, 1978, 173 217 [24] G.D. Cooper, J.E. Sanabia, J.H. Moore, J.K. Olthoff, L.G. Christophorou: Total elas- tic cross section for Cl 2 , J. Chem. Phys. 110(1), 682 683 (1999) 669

References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

  • Upload
    others

  • View
    5

  • Download
    0

Embed Size (px)

Citation preview

Page 1: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

References

[1] W.R. Grove: On the Electro-Chemical Polarity of Gases, Phil. Trans. Roy. Soc. (Lon-don) 142, 87 − 101 (1852)

[2] Salzer Technologies Enterprises, Inc.: Synopsis — Spring Report 1988, Santa Monica,CA 90403

[3] O. Ambacher; Vacuum Solutions, 11 − 16, May/Jun 1999[4] T. Whitaker: LED market ready for accelerated growth, LEDs Magazine March 2007[5] M.A. Greenwood: LEDs Expected to Light More Automobiles, Photon. Spectra 42(4),

32 (2008)[6] Y. Nakane, T. Tsumori, T. Mifune: Semiconductor International, Jan/Feb 1979, p. 45[7] K. Galloway: Semiconductor International, May/Jun 1979, p. 65[8] G. Madland: Semiconductor International, Nov/Dec 1979, p. 33[9] Semiconductor International, Dec 1998, p. 19

[10] W. Finckelnburg, H. Maecker: Elektrische Bogen und thermisches Plasma, in Hand-buch der Physik, ed. by S. Flugge, Vol. 22, Gasentladungen II, Springer-Verlag, Berlin,1956, p. 254

[11] A. Unsold: Der neue Kosmos, Springer-Verlag, Berlin, 1967, p. 114[12] I. Langmuir: Oscillations in ionized gases, Proc. Nat. Acad. U.S. 14, 628 (1928)[13] E.W. McDaniel: Collision Phenomena in Ionized Gases, J. Wiley, New York, 1964, p.

683[14] P.W. Atkins: Physical Chemistry, Oxford University Press, Oxford, 1st edn., 1978, p.

800 f.[15] L.D. Landau, E.M. Lifschitz: Lehrbuch der theoretischen Physik, Bd. 1: Mechanik,

Akademie-Verlag, Berlin, 1970, p. 56[16] H.S.W. Massey, E.H.S. Burhop: Electronic and Impact Phenomena, Vol. 1, Clarendon

Press, Oxford, 1969, p. 6 f.[17] S.C. Brown: Introduction to Electrical Discharges in Gases, Wiley, New York, 1966,

p. 10[18] S.C. Brown: ibid, p. 11[19] E.C. Bullard, H.S.W. Massey: The Elastic Scattering of Slow Electrons in Argon,

Proc. Roy. Soc. (London) A130, 579 − 590 (1931)[20] S.C. Brown: ibid, p. 12[21] J.C. Maxwell: The Scientific Papers of James Clerk Maxwell, Vol. II, ed. by W.D.

Niven, Dover Publ., New York, NY, 1952, 26 − 78[22] W.P. Allis, P.M. Morse: Theorie der Streuung langsamer Elektronen an Atomen, Z.

Phys. 70, 567 − 582 (1931)[23] A.D. MacDonald, S.J. Tetenbaum: High Frequency and Microwave Discharges, Chap-

ter 3 in Gaseous Electronics, Vol. I, ed. by M.N. Hirsh and H.J. Oskam, AcademicPress, New York, 1978, 173 − 217

[24] G.D. Cooper, J.E. Sanabia, J.H. Moore, J.K. Olthoff, L.G. Christophorou: Total elas-tic cross section for Cl2, J. Chem. Phys. 110(1), 682 − 683 (1999)

669

Page 2: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

670 References

[25] H.S.W. Massey, E.H.S. Burhop: Electronic and Impact Phenomena, Vol. 1, ClarendonPress, Oxford, 1969, p. 25

[26] H. Nakanishi, D.M. Schrader: Simple but accurate calculations on the elastic scatteringof electrons and positrons from neon and argon, Phys. Rev. A 34(3), 1823 − 1840(1986)

[27] C. Szmytkowski, K. Maciag, G. Karwasz: Absolute Electron-Scattering Total CRossSection Measurements for Noble Gas Atoms and Diatomic Molecules, Physica Scripta54, 271 − 280 (1996)

[28] E.W. McDaniel: ibid, p. 146[29] E.W. McDaniel: ibid, p. 147[30] H.W. Berry: The Scattering of Fast Argon Atoms in Argon Gas, Phys. Rev. 75(6),

913 − 916 (1949)[31] W.H. Cramer, J.H. Simons: Elastic and Inelastic Scattering of Low-Velocity He+-Ions

in Helium, J. Chem. Phys. 26(5), 1272 − 1275 (1957)[32] W.H. Cramer: Elastic and Inelastic Scattering of Low-Velocity Ions: H+ in Ne, Ne+

in He, and Ne+ in Ne, J. Chem. Phys. 28(4), 688 − 690 (1958)[33] W.H. Cramer: Elastic and Inelastic Scattering of Low-Velocity Ions: Ne+ in A, A+

in Ne, and A+ in A, J. Chem. Phys. 30(3), 641 (1959)[34] S.C. Brown: ibid, p. 24[35] G.L. Rogoff, J.M. Kramer, R.B. Piejak: A Model for the Bulk Plasma in an RF

Chlorine Discharge, IEEE Trans. Plasma Sci. PS-14(2), 103 − 111 (1986)[36] J. Franck, G. Hertz: Uber Zusammenstoße zwischen Elektronen und den Molekulen

des Quecksilberdampfs und die Ionisierungsspannung desselben. Verhandlungen derDeutschen Physikalischen Gesellschaft 16, 457 − 467 (1914), cited in: Pioniere derWissenschaft bei Siemens, edited by E. Feldtkeller und H. Goetzeler, Publicis MCDVerlag, Erlangen, 1994, pp. 78 − 83

[37] W.B. Nottingham, Phys. Rev. 55, 203 (1939), cited by S.C. Brown: Introduction toElectron Discharges in Gases, J. Wiley & Sons, 1966, p. 85

[38] H. Maier-Leibnitz, Z. Phys. 95, 499 (1935)[39] G.J. Schulz, R.E. Fox: Excitation of Metastable Levels in Helium near Threshold,

Phys. Rev. 106, 1179 (1957)[40] H.A. Bethe, E.E. Salpeter: Quantum Mechanics of One- and Two-Electron Systems, in

Handbuch der Physik, edited by S. Flugge, Vol. 35, Atome 1, Springer-Verlag, Berlin,1957

[41] M. Inokuti: Inelastic Collisions of Fast Charged Particles with Atoms and Molecules—The Bethe Theory Revisited, Rev. Mod. Phys. 43(3), 297 − 347 (1971)

[42] F.J. de Heer, M. Inokuti: Total Ionization Cross Section, in Electron Impact Ioniza-tion, edited by T.D. Mark and G.H. Dunn, Springer, Wien/New York, 1985

[43] H. Bethe: Zur Theorie des Durchgangs schneller Korpuskularstrahlen durch Materie,Ann. Phys. 397, 325 − 400 (1930)

[44] W. Lotz: An Empirical Formula for the Electron-Impact Ionization Cross Section,Zeitschr. f. Physik 206(2), 205 − 211 (1967)

[45] R.E. Fox: Study of Multiple Ionization in Helium and Xenon by Electron Impact, Adv.Mass Spectrom. 1, 397 − 412 (1959)

[46] G.H. Wannier: The Threshold Law for Single Ionization of Atoms or Ions by Electrons,Phys. Rev. 90, 817 − 825 (1953)

[47] S. Geltman: Theory of Ionization Probability Near Threshold, Phys. Rev. 102, 171 −179 (1956)

[48] A.A. Mityureva, V.V. Smirnov: Approximation of the energy dependence of atomichelium cross sections for excitations by electrons, Opt. Spectrosc. 74(1), 2− 5 (1993)

[49] D. Rapp, P. Englander-Golden: Total Cross Sections for Inization and Attachment inGases by Electron Impact. I. Positive Ionization, J. Chem. Phys. 43(5), 1464 − 1479(1965)

Page 3: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

References 671

[50] L.D. Landau, E.M. Lifschitz: Lehrbuch der theoretischen Physik, Bd. 3: Quanten-mechanik, Akademie-Verlag, Berlin, 1970, p. 56

[51] A. v. Engel: Ionized Gases, Clarendon Press, Oxford, 1955, p. 52[52] G.P. Li, T. Takayanagi, K. Wakiya, H. Suzuki: Cross section for 3s ionization in argon

by electron impact, Phys. Rev. A 38(4), 1831 − 1838 (1988)[53] E.W. McDaniel: ibid, p. 410[54] C. Tav, P.G. Datskos, L.A. Pinnaduwage: Electron attachment to boron trichloride, J.

Appl. Phys. 84(10), 5805 − 5807 (1998)[55] Z.L. Petrovic, W.C. Wang, M. Suto, J.C. Han, L.C. Lee: Low-energy electron attach-

ment to BCl3, J. Appl. Phys. 67(2), 675 − 678 (1990)[56] R.A. Gottscho, C.E. Gaebe: Negative Ion Kinetics in RF Glow Discharge, IEEE Trans.

Plasma Sci. PS-14(2), 78 − 91 (1986)[57] H.S.W. Massey, E.H.S. Burhop: Electronic and Impact Phenomena, Vol. 1, Clarendon

Press, Oxford, 1969, p. 5[58] A.T. Bell: Fundamentals of Plasma Chemistry, Chapter 1 in Techniques and Appli-

cations of Plasma Chemistry, ed. by J.R. Hollahan and A.T. Bell, J. Wiley & Sons,New York, 1974

[59] A. v. Engel: ibid, p. 57[60] E.W. McDaniel: ibid, p. 184[61] S.C. Brown: ibid, p. 89[62] H.S.W. Massey, E.H.S. Burhop: ibid, Chapters 7 and 8[63] D. Rapp; W.C. Francis: Charge Exchange between Gaseous Ions and Atoms, J. Chem.

Phys. 37(11), 2631 − 2645 (1962)[64] E.W. McDaniel: ibid, p. 252[65] E.W. McDaniel: ibid, p. 267[66] E.W. McDaniel: ibid, p. 245 ff.[67] L.D. Landau, A. Achieser, E.M. Lifschitz: Mechanik und Molekularphysik, Akademie-

Verlag, Berlin, 1970, p. 227[68] E.W. McDaniel: ibid, p. 649[69] Q. Wang, F. Doll, V.M. Donnelly, D.J. Economou, N. Sadeghi, G. Franz: Experimental

and theoretical study of the effect of gas flow on gas temperature in an atmosphericpressure microplasma, J. Phys. D: Appl. Phys. 40(1) 1 − 10 (2007)

[70] M.J. Druyvesteyn, F.M. Penning: ibid, p. 99[71] G. Mierdel: Elektrophysik, Dr. Alfred Huthig Verlag, Heidelberg, 1972, p. 188[72] J. Ingold: ibid, p. 57 ff.[73] G.L. Weissler: Photoionization in Gases and Photoelectric Emission from Solids, in

Handbuch der Physik, edited by S. Flugge, Vol. 21, Gasentladungen 1, Springer-Verlag,Berlin, 1956, p. 323

[74] A.v. Engel: ibid, p. 76[75] H.D. Hagstrum: Auger Ejection of Electrons from Molybdenum by Noble Gas Ions,

Phys. Rev. 104(3), 672 − 683 (1956)[76] H.D. Hagstrum: Theory of Auger Ejection of Electrons from Metals by Ions, Phys.

Rev. 96(2), 336 − 365 (1954)[77] H.D. Hagstrum: Auger Ejection of Electrons from Tungsten by Noble Gas Ions, Phys.

Rev. 104(2), 317 − 318 (1956)[78] H.D. Hagstrum: Effect of Monolayer Absorption on the Ejection of Electrons from

Molecules by Ions, Phys. Rev. 104(6), 1516 − 1527 (1956)[79] A. v. Engel: ibid, p. 87[80] E.W. McDaniel: ibid, p. 678[81] M.J. Ziman: ibid, S. 187 ff.[82] E. Nasser: Fundamentals of Gaseous Ionization and Plasma Electronics, J. Wiley

Interscience, New York, NY, 1971, p. 399

Page 4: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

672 References

[83] J.H. Ingold: Glow Discharges at DC and Low Frequencies, Anatomy of a Discharge,in Gaseous Electronics, Vol. I, ed. by M.N. Hirsh and H.J. Oskam, Academic Press,New York, NY, 1978, p. 25

[84] J.H. Ingold: ibid, S. 26[85] G. Francis: The Glow Discharge at Low Pressure, inHandbuch der Physik, heraus-

gegeben von S. Flugge, Band 22, Gasentladungen II, Springer-Verlag, Berlin, 1956, p.54 ff.

[86] I. Langmuir, H. Mott-Smith, jr.: Studies of Electric Discharges in Gases of Low Pres-sures, Part I, Gen. Electr. Rev. 27, 449 − 455 (1924)

[87] I. Langmuir, H. Mott-Smith, jr.: Studies of Electric Discharges in Gases of Low Pres-sures, Part V, Gen. Electr. Rev. 27, 810 − 820 (1924)

[88] G. Francis: ibid, p. 65[89] A.J.v. Roosmalen: Plasma Parameter Estimation from RF Impedance Measurements

in a Dry Etching System, Appl. Phys. Lett. 42(5), 416 − 418 (1983)[90] J.D. Jackson: Classical Electrodynamics, J. Wiley, New York/London/Sydney, 1962,

Chapter 17[91] A.A. Sokolow, D.M. Loskutow, I.M. Ternow: Quantenmechanik, Akademie-Verlag,

Berlin, 1964, p. 67[92] M. Born: Optik, Springer-Berlag, Berlin, 1971, p. 540[93] G. Kortum: Lehrbuch der Elektrochemie, Verlag Chemie, Weinheim, 1972, p. 172 ff.[94] C. Kittel: Introduction to Solid State Physics, J. Wiley & Sons, New York/Lon-

don/Sydney/Toronto, 1971, p. 280[95] J.L. Vossen: Glow Discharge Phenomena in Plasma Etching and Plasma Deposition,

J. Electrochem. Soc. 126(3), 319 − 324 (1979)[96] P.W. Atkins: ibid, p. 803[97] F.F. Chen: Electrical Probes in Plasma Diagnostic Techniques, ed. by R.H. Huddle-

stone and S.F. Leonard, Academic Press, New York, NY, 1965[98] T.I. Cox, U.G.I. Deshmukh, D.A.O. Hope, A.J. Hydes, N.S.J. Braithwaite, N.M.P.

Benjamin: The use of Langmuir probes and optical emission spectroscopy to measureelectron energy distribution functions in RF-generated argon plasmas, J. Phys. D:Appl. Phys. 20, 820 − 831 (1987)

[99] L. Tonks, I. Langmuir: A General Theory of the Plasma of an Arc, Phys. Rev. 34(6),876 − 922 (1929)

[100] M.A. Lieberman, A.J.Lichtenberg: Principles of Plasma Discharges and MaterialsProcessing, 1st edn., Wiley, New York, 1994, pp. 301 − 326

[101] P.W. Atkins: ibid, p. 798 ff.[102] V.A. Godyak: Soviet Radio Frequency Discharge Research, Delphic Ass., Inc., Falls

Church, Va., U.S.A., 1986, Chapter 3, pp. 86 − 90[103] M.A. Lieberman, A.J. Lichtenberg: Principles of Plasma Discharges and Materials

Processing, 1st edn., Wiley, New York, 1994, p. 306[104] W. Lotz: Electron-Impact Ionization Cross Sections and Ionization Rate Coefficients

for Atoms and Ions, Astrophys. J., Suppl. 14, 207 − 238 (1967)[105] K. Behringer, U. Fantz: Spectroscopic diagnostics of glow discharge plasmas with non-

Maxwellian electron energy distributions, J. Phys. D: Appl. Phys. 27, 2128 − 2135(1994)

[106] H.-M. Wu, D.B. Graves, R. K. Porteous: Comparison between a two-dimensional sim-ulation and a global conservation model for a compact ECR plasma source, PlasmaSources Sci. Technol. 4(1), 22 − 30 (1995)

[107] M.J. Ziman: Prinzipien der Festkorpertheorie, Verlag Harri Deutsch, Zurich undFrankfurt am Main, 1975, p. 156 ff.

[108] J.D. Jackson: ibid, p. 226 ff.[109] F.F. Chen: Introduction to Plasma Physics, Plenum Press, New York and London,

1976, p. 213 ff.

Page 5: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

References 673

[110] J. Ingold: ibid, p. 26 ff.[111] A.v. Engel: ibid, p. 147 ff.[112] E.W. McDaniel: ibid, p. 393[113] S.C. Brown: ibid, p. 190[114] G.F. Weston: Cold Cathode Glow Discharge Tubes, ILIFFE Books, London, 1968, p.

5[115] J. Ingold: ibid, p. 28[116] S.C. Brown: ibid, p. 189[117] J. Ingold: ibid, p. 32[118] A.L. Ward: Calculation of Cathode-Fall Characteristics, J. Appl. Phys. 33(9), 2789−

2794 (1962)[119] K.-U. Riemann: Theoretical analysis of the electrode sheath in rf discharges, J. Appl.

Phys. 65(3), 999 − 1004 (1989)[120] K.-U. Riemann, U. Ehlemann, K. Wiesemann: The ion energy distribution in front of

a negative wall, J. Phys. D: Appl. Phys. 25 620 − 633 (1992)[121] A.v. Engel: ibid, p. 200 ff.[122] J. Ingold: ibid, p. 39[123] M.J. Druyvesteyn, F.M. Penning: ibid, p. 130[124] A.v. Engel: ibid, p. 198 ff.[125] G. Francis: ibid, p. 93[126] A.v. Engel: ibid, p. 200[127] G. Francis: ibid, p. 102[128] W.D. Davis, T.A. Vanderslice: Ion Energies at the Cathode of a Glow Discharge, Phys.

Rev. 131, 219 − 228 (1963)[129] P.F. Little, A.v. Engel: The Hollow-Cathode Effect and the Theory of Glow Discharges,

Proc. Roy. Soc. (London) A224, 209 − 227 (1954)[130] O. Scherzer: Theorie der Glimmentladung, Arch. Elektrotechn. 33(4), 207−228 (1939)[131] M.J. Druyvesteyn, F.M. Penning: ibid, p. 137[132] G. Francis: ibid, p. 111[133] G.D. Morgan: Origin of Striations in Discharges, Nature (London, England) 172(9),

542 (1953)[134] B. Chapman: Glow Discharges Processes: Sputtering and Plasma Etching, John Wiley

& Sons, New York, N.Y., U.S.A., 1980, p. 116 f.[135] A.v. Engel: ibid, p. 52[136] H.F. Winters, J.W. Coburn, E. Kay: Plasma Etching—a “Pseudo-Black-Box” Ap-

proach, J. Appl. Phys. 48(12), 4973 − 4983 (1977)[137] E.H. Hirsch: Plasma Probes and the Langmuir Paradox, Int. J. Electron. 19, 537−548

(1965)[138] G.F. Weston: ibid, p. 172[139] F.C. Andrews: Equlibrium Statistical Mechanics, Wiley-Interscience, New York, 2nd

edn., 1975, p. 150[140] G.K. Wehner, G.S. Anderson: The Nature of Physical Sputtering, Chapter 3 in Hand-

book of Thin Film Technology, ed. by L.I. Maissel and R. Glang, McGraw Hill, NewYork, N.Y., U.S.A., 1970, p. 11

[141] G. Francis: ibid, pp. 148 − 153[142] G. Francis: ibid, p. 146[143] J. Ingold: ibid, p. 62 f.[144] G. Francis: ibid, p. 112[145] G. Francis: ibid, p. 147[146] Z. Wang, S.A. Cohen: Hollow Cathode Magnetron, J. Vac. Sci. Technol. A17(1), 77−82

(1999)[147] G. Leonhardt, R. Wilberg: Anwendung der Hohlkatodenplasmaquelle in der Vaku-

umtechnik, Vakuum i. Forschung und Praxis 7(1), 17 − 27 (1995)

Page 6: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

674 References

[148] A.v. Engel: ibid, p. 210[149] de la Rue und Muller, cited in G. Francis: The Glow Discharge at Low Pressures, in

Handbuch der Physik, edited by Flugge, Vol. 22, Gasentladungen II, Springer-Verlag,Berlin, p. 70

[150] M. Steenbeck: Ahnlichkeitsgesetze fur Gasentladungen und ihr Gultigkeitsbereich, Wis-senschaftl. Veroff. Siemens Werke 11, 36 − 51 (1932)

[151] M.J. Druyvesteyn, F.M. Penning: The Mechanism of Electrical Discharges in Gasesof Low Pressures, Rev. Mod. Phys. 12, p. 132

[152] G. Francis: ibid, p. 81 ff.[153] S.C. Brown: ibid, Wiley, New York, 1966, p. 44[154] G.S. Anderson, W.N. Mayer, G.K. Wehner: Sputtering of Dielectrics by High-

Frequency Fields, J. Appl. Phys. 33(10), 2991 − 2992 (1962)[155] R.A. Morgan: Plasma Etching in Semiconductor Fabrication, Elsevier, Amster-

dam/Oxford/New York/Tokyo, 1985, p. 129[156] H.R. Koenig, L.J. Maissel: Application of RF Discharges to Sputtering, IBM J. Res.

Develop. 14, 168 − 171 (1970)[157] H. Norstrøm: Langmuir Probe Studies of the Glow Discharge in an RF Sputtering

System at Various Frequencies, Vacuum 29(11/12), 443 − 445 (1970)[158] D.L. Flamm: Frequency effects in plasma etching, J. Vac. Sci. Technol. A 4(3), 729−

738 (1986)[159] M.R. Wertheimer, M. Moisan: Comparison of Microwave and Lower Frequency Plas-

mas for Thin Film Deposition and Etching, J. Vac. Sci. Technol. A 3(6), 2643− 2649(1985)

[160] M. Moisan, C. Barbeau, R. Claude, C.M. Ferreira, J. Margot, J. Paraszczak, A.B. Sa,G. Sauve, M.R. Wertheimer: Radio frequency or microwave plasma reactors? Factorsdetermining the optimum frequency of operation, J. Vac. Sci. Technol. B 9(1), 8− 25,(1991)

[161] M. Surendra, D.B. Graves: Capacitively coupled glow discharges at frequencies above13.56 MHz, Appl. Phys. Lett. 59(17), 2091 − 2093 (1991)

[162] J.D. Jackson: ibid, p. 69 ff.[163] G.L. Rogoff: Ambipolar Diffusion Coefficients for Discharges in Attaching Gases, J.

Phys. D 18, 1533 − 1545 (1985)[164] S.C. Brown: Introduction to Electrical Discharges in Gases,

Wiley, New York, N.Y., U.S.A., 1966, p. 30[165] W.P. Allis: Motions of Ions and Electrons, in Handbuch der Physik, edited by S.

Flugge, Vol. 21, Gasentladungen I, Teil 1, Springer-Verlag, Berlin, 1956, p. 392[166] S.C. Brown: Breakdown in Gases: Alternating and High-Frequency Fields, in Handbuch

der Physik, edited by S. Flugge, Vol. 22, Gasentladungen II, Springer-Verlag, Berlin,1956, p. 534

[167] S.C. Brown: Introduction to Electrical Discharges in Gases, Wiley, New York, N.Y.,U.S.A., 1966, p. 102

[168] M.A. Herlin, S.C. Brown: Breakdown of a Gas at Microwave Frequencies, Phys. Rev.74(3), 291 − 296 (1948)

[169] S.C. Brown: Breakdown in Gases: Alternating and High-Frequency Fields, in Handbuchder Physik, edited by S. Flugge, Vol. 22, Gasentladungen II, Springer-Verlag, Berlin,1956, p. 534 ff.

[170] S.C. Brown: Introduction to Electrical Discharges in Gases, Wiley, New York, N.Y.,U.S.A., 1966, p. 172

[171] S.C. Brown: Breakdown in Gases: Alternating and High-Frequency Fields, in Handbuchder Physik, edited by S. Flugge, Vol. 22, Gasentladungen II, Springer-Verlag, Berlin,1956, p. 538

[172] E.W. McDaniel: ibid, p. 121

Page 7: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

References 675

[173] S.C. Brown: Introduction to Electrical Discharges in Gases, Wiley, New York, N.Y.,U.S.A., 1966, p. 21

[174] FCC Rules & Regulations, Part 18, Industrial, Scientific & Materials Equipment, Jan.1964

[175] S.M. Levitskii: Space Potential and Electron Sputtering in a High-Frequency Dis-charge, Sov. Phys. — Techn. Phys. 27, 913 − 920 (1957)

[176] A.T. Bell: ibid, Appendix A.2, p. 398[177] J. Engemann, F. Werner: Apparatus Including Ring-Shaped Resonators for Producing

Microwave Plasmas, U.S. Patent # 5,517,085 (1993)[178] C.M. Ferreira, M. Moisan, Z. Zakrzewski: Physical Principles of Microwave Plasma

Generation, Chap. 2 in Microwave Excited Plasmas, ed. by M. Moisan and J. Pelletier,Elsevier Science, Amsterdam (1992)

[179] J.L. Vossen, J.J. O‘Neill, jr.: RF-Sputtering Processes, RCA Review 29, 149 − 179(1968)

[180] Chr. Gerthsen, H. Vogel: Physik, Springer-Verlag, Berlin/Heidelberg, 17th edn., 1993,p. 357

[181] N.N.: Manual for the AZX tuner, Advanced Energy Industries, Inc., Ft. Collins, Colo.,1992

[182] Chr. Gerthsen, H. Vogel: ibid, p. 396[183] A. Garscadden, K.G. Emeleus: Notes on the Effect of Noise on Langmuir Probe Char-

acteristics, Proc. Roy. Soc. (London, England) 79, 535 − 541 (1962)[184] C.B. Zarowin: Plasma Etch Anisotropy — Theory and some Verifying Experiments

Relating Ion Transport, Ion Energy, and Transport Properties, J. Electrochem. Soc.130(5), 1144 − 1152 (1983)

[185] A.J.v. Roosmalen, W.G.M.v.d. Hoek, H. Kalter: Electrical Properties of Planar RFDischarges for Dry Etching, J. Appl. Phys. 58(2), 653 − 658 (1985)

[186] J.D. Swift, M.J.R. Schwar: Electrical Probes for Plasma Diagnostics, ILIFFE Books,London, England, 1970, pp. 94 − 97

[187] G.R. Misium, A.J. Lichtenberg, M.A. Lieberman: Macroscopic modeling of radio-frequency plasma discharges, J. Vac. Sci. Technol. A 7(3), 1007 − 1013) (1989)

[188] W. Kasper, H. Bohm, B. Hirschauer: The Influence of Electrode Areas on Radio Fre-quency Glow Discharges, J. Appl. Phys. 71(9), 4168 − 4172 (1992)

[189] B.E. Thompson, K.D. Allen, A.D. Richards, H.H. Sawin: Ion Bombardement Energiesin Radio-Frequency Glow Discharge Systems, J. Appl. Phys. 59(6), 1890−1903 (1986)

[190] V.A. Godyak, N. Sternberg: Dynamic Model of the Electrode Sheaths in SymetricallyDriven RF Discharges, Phys. Rev. A 42(4), 2299 − 2312 (1990)

[191] V.A. Godyak: Soviet Radio Frequency Discharge Research, Delphic Ass., Inc., FallsChurch, Va., U.S.A., 1986, Chapter 3, pp. 28 − 56

[192] M.A. Lieberman: Analytical Solution for Capacitive RF Sheath, IEEE Trans. PlasmaSci. PS-16(6), 638 − 644 (1988)

[193] M. Klick: Resistive Model of the RF Discharge Including Additional DC Currents andElectrodes, Phys. Rev. E 47(1), 591 − 603 (1993)

[194] M. Klick: The Nonlinearity of the Radio-Frequency Sheath, J. Appl. Phys. 79(5), 1−8(1996)

[195] K. Kohler, J.W. Coburn, D.E. Horne, E. Kay, J.H. Keller: Plasma Potentials of 13.56MHz RF Argon Glow Discharges in a Planar System, J. Appl. Phys. 57(1), 59 − 66(1985)

[196] J.W. Coburn, E. Kay: Positive-Ion Bombardement of Substrates in RF Diode GlowDischarge Sputtering, J. Appl. Phys. 43, 4965 − 4971 (1972)

[197] J.H. Keller, W.B. Pennebaker: Electrical Properties of RF Sputtering Systems, IBMJ. Res. Develop. 23(1), 3 − 15 (1979)

[198] J.S. Logan, N.M. Mozza, P.D. Davidse: Electrical charakterization of radio-frequencysputtering gas discharge, J. Vac. Sci. Technol. 6(1), 120 − 123 (1969)

Page 8: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

676 References

[199] C.M. Horwitz: RF Sputtering-voltage division between two electrodes, J. Vac. Sci. Tech-nol. A 1(1), 60 − 68 (1983)

[200] B. Chapman: ibid, p. 150[201] W.B. Pennebaker: Influence of Scattering and Ionization on RF Impedance in Glow

Discharge Sheaths, IBM J. Res. Develop. 23(1) 16 − 23 (1979)[202] M. Klick: private communication, Dresden 2000[203] G. Franz, M. Klick: Electron Heating in Capacitively Coupled Discharges and Reactive

Gases, J. Vac. Sci. Technol. A 23, 917 (2005)[204] C.G. Goedde, A.J. Lichtenberg, M.A. Lieberman: Self-consistent stochastic electron

heating in radio frequency discharges, J. Appl. Phys. 64(9), 4375 − 4383 (1988)[205] O.A. Popov, V.A. Godyak: Power Dissipated in Low-Pressure Radio-Frequency Dis-

charge Plasmas, J. Appl. Phys. 57(1), 53 − 58 (1985)[206] V.A. Godyak: Steady-State Low Pressure RF Discharge, Sov. J. Plasma Phys. 2(1),

78 − 84 (1976)[207] G. Gozadinos, D. Vender, M.M. Turner, M.A. Lieberman: Collisionless electron heat-

ing by capacitive radio-frequency plasma sheaths, Plasma Sources Sci. Technol. 10(1),21871 − 21874 (2001)

[208] E. Fermi: On the origin of the cosmic radiation, Phys. Rev. 75, 1073 − 1076 (1949)[209] V.A. Godyak: Statistical heating of electrons at an oscillating plasma boundary, Sov.

Phys.—Tech. Phys. 16, 1073 − 1076 (1972)[210] V.A. Godyak: Soviet Radio Frequency Discharge Research, Delphic Ass., Inc., Falls

Church, Va., U.S.A., 1986, pp. 73 f.[211] G. Gozadinos, M.M. Turner, D. Vender: Collisionless electron heating by capacitive rf

sheaths, Phys. Rev. Lett. 87(13), 135004-1 − 135004-4 (2001)[212] B.P. Wood, M.A. Lieberman, A.J. Lichtenberg: Stochastic Electron Heating in a Ca-

pacitive RF Discharge with Non-Maxwellian and Time-Varying Distributions, IEEETrans. Plasma Sci. PS-23(1), 89 − 96 (1995)

[213] K.B. Person: Inertia-Controlled Ambipolar Diffusion, Phys. Fluids 5, 1625 − 1632(1962)

[214] V.A. Godyak: Soviet Radio Frequency Discharge Research, Delphic Ass., Inc., FallsChurch, Va., U.S.A., 1986, Chapter 3, pp. 79 − 102

[215] M. A. Lieberman, A.J. Lichtenberg: Principles of Plasma Discharges and MaterialsProcessing, 2nd edn., J. Wiley & Sons, Hoboken, N. J., 2005: Secs. 5.3 + Appendix C

[216] A. Salabas, L. Marques, J. Jolly, G. Gousset, L.L. Alves: Systematic characterizationof low-pressure capacitively coupled hydrogen discharges, J. Appl. Phys. 95(9), 4605−4620 (2004)

[217] P.C. Boyle, A.R. Ellingboe, M.M. Turner: Independent control of ion current and ionimpact energy onto electrodes in dual frequency plasma devices, J. Phys. D: Appl.Phys. 37(4), 697 − 701 (2004)

[218] P.C. Boyle, A.R. Ellingboe, M.M. Turner: Electrostatic modelling of dual frequency rfplasma discharges, Plasma Sources Sci. Technol. 13(4), 493 − 503 (2004)

[219] V.A. Godyak, R.B. Piejak: Abnormally Low Electron Energy and Heating-Mode Tran-sition in a Low-Pressure Argon rf Discharge at 13.56 MHz, Phys. Rev. Lett. 65(8),996 − 999 (1990)

[220] E. Abdel-Fattah, H. Sugai: Electron heating mode transition observed in a very highfrequency capacitive discharge, Appl. Phys. Lett. 83(8), 1533 − 1535 (2003)

[221] M.J. Kushner: Distribution of Ion Energies Incident on Electrodes in CapacitivelyCoupled RF Discharges, J. Appl. Phys. 58(11), 4024 − 4031 (1985)

[222] M.J. Kushner: Mechanisms for Power Deposition in Ar/SiH4 Capacitively CoupledRF Discharges, IEEE Trans. Plasma Sci. PS-14(2), 188 − 196 (1986)

[223] R.J. Seebock, W.E. Kohler, F. Rebentrost: Time Dependent Diagnostics and Modellingof an Argon Plasma Excited at 13.6 MHz, in Proceedings 9th Intern. Sympos. PlasmaChemistry, Pugnochiuso, Italien, 1989, Vol. I, ed. by R. d’Agostino, 493 − 498

Page 9: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

References 677

[224] D.J. Economou, D.R. Evans, R.C. Alkire: A Time-Average Model of the RF PlasmaSheath, J. Electrochem. Soc. 135(3), 756 − 763 (1988)

[225] D.J. Economou: private communication, 1991[226] Y. Sakaki, I. Katsumata: An Energy Resolution Formula of a Three Plane Grids

Retarding Field Energy Analyzer, Jpn. J. Appl. Phys. 24, 337 (1985)[227] J.R. Woodworth, M.E. Riley, D.C. Meister, B.P. Aragon, M.S. Lee, H.H. Sawin: Ion

energy and angular distribution in inductively coupled radio frequency discharges inargon, J. Appl. Phys. 80, 1996 (1996)

[228] J.R. Woodworth, M.E. Riley, P.A. Miller, G.A. Hebner: Ion energy and angular dis-tribution in inductively coupled radio frequency discharges in chlorine, J. Appl. Phys.81, 1997 (1997)

[229] A. Ranjan, C. Helmbrecht, V.M. Donnelly, D.J. Economou, G. Franz: Effect of surfaceroughness of the neutralization grid on the energy and flux of fast neutrals and residualions extracted from a neutral beam source, J. Vac. Sci. Technol. B 25, 258 (2007)

[230] K.P. Brandt, H. Jungblut: The Interaction Potential of SF6 Ions in SF6 Parent GasDetermined from Mobility Data, J. Chem. Phys. 78(4), 1999 − 2007 (1983)

[231] J.A. Mucha, D.W. Hess: Plasma Etching, Chapter 5 in Introduction to Microlithog-raphy: Theory, Materials, and Processing, ed. by L.F. Thompson and C.G. Wilson,1983, p. 272

[232] S.G. Ingram, N.S.J. Braithwaite: Ion and Electron Energy Analysis at a Surface inan RF Discharge, J. Phys. D: Appl. Phys. 21, 1496 − 1503 (1988)

[233] P. Benoit-Cattin, L.-C. Bernard: Anomalies of the Energy of Positive Ions Extractedfrom High-Energy Ion Sources. A Theoretical Study, J. Appl. Phys. 39(12), 5723−5726(1968)

[234] V.M. Donnelly, D.L. Flamm, R.H. Bruce: Effects of Frequency on Optical Emission,Electrical, Ion, and Etching Characteristics of a Radio Frequency Chlorine Plasma,J. Appl. Phys. 58(6), 2135 − 2144 (1985)

[235] I.W. Rangelow: private communication, Kassel, Hesse, 1993[236] M. Kratzer, R.P. Brinkmann, W. Sabisch, H. Schmidt: Hyrid model for the calculation

of ion distribution functions behind a direct current or radio frequency driven plasmaboundary sheath, J. Appl. Phys. 90(5), 2169 − 2179 (2001)

[237] W. Sabisch, M. Kratzer, R.P. Brinkmann: Energetic neutral fluxes towards surfaces ina MERIE like reactor, AVS 49th International Symposium, Denver 2002, PS-MoM8

[238] J. Liu, G.L. Huppert, H.H. Sawin: Ion Bombardement in RF Plasmas, J. Appl. Phys.68(8), 3916 − 3934 (1990)

[239] F. Becker, I.W. Rangelow, R. Kassing: Ion energy distributions in SF6 plasmas at aradio-frequency powered electrode, J. Appl. Phys. 80(1), 56 − 65 (1996)

[240] C. Wild, P. Koidl: Ion and electron dynamics in the sheath of radio-frequency glowdischarges, J. Appl. Phys. 69(5), 2909 − 2922 (1991)

[241] S. Biehler, in Proceedings of the 10th International Symposium on Plasma Chemistry,ed. by U. Ehlemann, H.G. Lergon und K. Wiesemann (ISPC 1991), Bochum, Aug.1991, Vol. 2, pp. 21 − 52

[242] D.B. Graves, K.F. Jensen: A Continuum Model of DC and RF Discharges, IEEETransact. Plasma Sci. PS-14(2), 78 − 91 (1986)

[243] V.A. Godyak: Soviet Radio Frequency Discharge Research, Delphic Ass., Inc., FallsChurch, Va., U.S.A., 1986, p. 61

[244] J. Shin, G. Han, Y. Ma, K. Moloni, F. Cerrina: Resist line edge roughness and aerialimage contrast, J. Vac. Sci. Technol. B 19(6), 2890 − 2895 (2001)

[245] J.A. Croon, G. Storms, S. Winkelmeier, I. Pollentier, M. Ercken, S. Decoutere, W.Sansen, H.E. Maes: Line Edge Roughness: Characterization, Modeling and Impacton Device Behavior, Electron Devices Meeting 2002, IEDM ’02, International Digest307 − 310 (2002)

Page 10: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

678 References

[246] K.P. Muller, F. Heinrich, H. Mader: Magnetically Enhanced Reactive Ion Etching(MERIE) with Different Field Configurations, Microelectron. Eng. 10, 55− 67 (1989)

[247] J.R. Woodworth, M.E. Riley, P.A. Miller, C.A. Nichols, T.W. Hamilton: Ion distri-bution functions in inductively coupled radio frequency discharges in argon-chlorinemixtures, J. Vac. Sci. Technol. A 15(6), 3015 − 3023 (1997)

[248] E.C. Benck, A. Schwabedissen, A. Gates, J.R. Roberts: Investigations in the sheathregion of a radio frequency biased inductively coupled discharge, J. Vac. Sci. Technol.A 16(1), 306 − 315 (1998)

[249] R.A. Stewart, P. Vitello, D.B. Graves, E.F. Jaeger, L.A. Berry: Plasma Uniformityin High-Density Inductively Coupled Plasma Tools, Plasma Sources Sci. Technol. 4,36 − 46 (1995)

[250] J. Hopwood, C.R. Guarnieri, S.J. Whitehair, J.J. Cuomo: Langmuir probe measure-ments of a radio frequency induction plasma, J. Vac. Sci. Technol. A 11(1), 152−156(1993)

[251] Chr. Gerthsen, H. Vogel: ibid, p. 387 ff.[252] F. Kracmar: Allgemeine Hochfrequenztechnik, Universum Verlagsgesellschaft Wien,

1947[253] A. Fridman, L.A. Kennedy: Plasma Physics and Engineering, Taylor & Francis, New

York and London, 2004, Sect. 10.7, Eqs. (10.135) − (10.154), pp. 671 − 677[254] J. Hopwood, C.R. Guarnieri, S.J. Whitehair, and J.J. Cuomo: Electromagnetic fields

in a radio-frequency induction plasma, J. Vac. Sci. Technol. A 11(1), 147−151 (1993)[255] N.A. Krall and A.W. Trivelpiece: Principles of Plasma Physics, McGraw-Hill, New

York, 1973, p. 48[256] M. Edamura, E.C. Benck: Transition from E to H Mode Discharge in Pulse-Modulated

Inductively Coupled Plasmas, J. Vac. Sci. Technol. A 21(2), 470 − 475 (2003)[257] T. Panagopoulos, D. Kim, V. Midha, D.J. Economou: Three-Dimensional Simulation

of an Inductively Coupled Plasma Reactor, J. Appl. Phys. 91(5), 2687 − 2696 (2002)[258] P. Aigrain: Les “Helicons” dans le Semiconducteurs, Proc. Conf. Semicond. Phys. 15,

224 − 226 (1960)[259] H. Barkhausen: Zwei mit Hilfe der neuen Verstarker entdeckte Erscheinungen, Phys.

Z. 20, 401 − 403 (1919)[260] D.A. Gurnett, R.L. Huff, J.S. Pickett, A.M. Persoon, R.L. Mutel, I.W. Christopher,

C.A. Kletzing, U.S. Inan, W.L. Martin, J.-L. Bougeret, H.St.C. Alleyne, K.H. Yearby:First results from the Cluster wideband plasma wave investigation, Ann. Geophysicae19, 1259 − 1272 (2001)

[261] S.P. McGreevy: More facts about VLF natural radio, www.auroralchorus.com[262] T.A. Stix: Theory of Plasma Waves, McGraw Hill, New York, 1962, p. 91[263] O.A. Popov: Effects of magnetic field and microwave power on electron cyclotron

resonance-type plasma characteristics, J. Vac. Sci. Technol. 9(3), 711 − 716 (1991)[264] F.F. Chen, D.D. Blackwell: Upper Limit to Landau Damping in Helicon Discharges,

Phys. Rev. Lett. 82(13), 2677 − 2680 (1999)[265] M. Light, I.D. Sudit, F.F. Chen, D. Arnush: Axial propagation of helicon waves, Phys.

Plasmas 2, 4094 − 4103 (1995)[266] B. Davies, P.J. Christiansen: Helicon Waves in a Gaseous Plasma, Plasma Phys. 11,

987 − 1000 (1969)[267] B. Davies: Helicon Wave Propagation: Effect of Electron Inertia, J. Plasma Phys.

4(1), 43 − 50 (1970)[268] T.A. Stix: ibid, Chapter 5[269] J.P. Klozenberg, B. McNamara, P.C. Thonemann: The Dispersion and Attenuation

of Helicon Waves in a Uniform Cylindrical Plasma, J. Fluid Mech. 21(3), 545 − 563(1965)

[270] F.F. Chen: Experiments on helicon plasma sources, J. Vac. Sci. Technol. A 10(4),1389 − 1401 (1992)

Page 11: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

References 679

[271] R.W. Boswell: Very Efficient Plasma Generation by Whistler Waves Near the LowerHybrid Frequency, Plasma Phys. 26(10), 1147 − 1162 (1984)

[272] R.L. Kinder, M.J. Kushner: Wave propagation and power deposition in magneticallyenhanced inductively coupled and helicon plasma sources, J. Vac. Sci. Technol. A19(1), 76 − 86 (2001)

[273] R.W. Boswell: Plasma Production Using a Standing Helicon Wave, Phys. Lett. 33A(7), 457 − 458 (1970)

[274] F.F. Chen: private communication, 1990[275] R. Boswell, R. Porteous, A. Proytz, A. Bouchoule, P. Ranson: Some Features of RF

Excited Fully Ionized Low Pressure Argon Plasma, Phys. Lett. 91A(4), 163 − 166(1982)

[276] M. Light, F.F. Chen: Helicon wave excitation with helical antennas, Phys. Plasmas2(4), 1084 − 1093 (1995)

[277] A.J. Perry, D. Vender, R.W. Boswell: The application of the helicon source to plasmaprocessing, J. Vac. Sci. Technol. B 9(2), 310 − 317 (1991)

[278] R.W. Boswell, A.J. Perry, M. Enami: Fast Etching of Silicon in a Plasma Reactorwith RIPE Source, Le Vide, Couch. Minces, Suppl. No. 246, 160 − 162 (1989)

[279] J.M. Cook, D.E. Ibbotson, P.D. Foo, D.L. Flamm: Etching results and comparisonof low pressure electron cyclotron resonance and radio frequency discharge sources, J.Vac. Sci. Technol. A 8(3), 1820 − 1824 (1990)

[280] D. Henry, A. Moore, A. Durandet, R. Boswell: Resonant Inductive Plasma Etching(RIPE): Evaluation of an Industrial Prototype, Le Vide, Couch. Minces, Suppl. No.246, 299 − 301 (1989)

[281] W.P. Allis, S.J. Buchsbaum, A. Bers: Waves in Anisotropic Plasmas, M.I.T. Press,Cambridge, Mass., U.S.A., 1963, p. 19 ff.

[282] A. Aanesland and A. Fredriksen: Pressure dependent mode transition in an electroncyclotron resonance plasma discharge, J. Vac. Sci. Technol. A 19(5), 2446 − 2452(2001)

[283] B. Lax, W.P. Allis, S.C. Brown: The Effect of Magnetic Field on the Breakdown ofGases at Microwave Frequencies, J. Appl. Phys. 21, 1297 − 1304 (1950)

[284] J. Asmussen: Electron cyclotron resonance microwave discharges for etching and thin-film deposition, J. Vac. Sci. Technol. A 7(3), 883 − 893 (1989)

[285] S.C. Brown: Introduction to Electrical Discharges in Gases, Wiley, New York, N.Y.,U.S.A., 1966, p. 182

[286] J. Forster, W. Holber: Plasma characterization for a divergent field electron cyclotronresonance source, J. Vac. Sci. Technol. A 7(3), 899 − 902 (1989)

[287] C.A. Outten, J.C. Barbour, W.R. Wampler: Characterization of electron cyclotronresonance hydrogen plasmas, J. Vac. Sci. Technol. A 9(3), 717 − 721 (1991)

[288] R.L. Kinder, M.J. Kushner: Consequences of mode structure on plasma properties inelectron cyclotron resonance sources, J. Vac. Sci. Technol. A 17(5), 2421−2430 (1999)

[289] O.A. Popov: Characteristics of electron cyclotron resonance plasma sources, J. Vac.Sci. Technol. A 7(3), 894 − 899 (1989)

[290] J.E. Stevens, J.L. Cecchi, Y.C. Huang, R.L. Jarecki, jr.: Optimized microwave couplingin an electron cyclotron resonance etch tool, J. Vac. Sci. Technol. A 9(3), 696 − 701(1991)

[291] F. Jaeger, A.J. Lichtenberg, M.A. Lieberman: Theory of Electron Cyclotron ResonanceHeating — I. Short Time and Adiabatic Effects, Plasma Phys. 14, 1073−1100 (1972)

[292] J.D. Jackson: ibid, eq. 8.80, p. 255[293] J.D. Jackson: ibid, eq. 8.76, p. 254[294] M. Dobler: Polykristalline Halbleiter und Niedertemperatur-Dielektrika zur Herstel-

lung von Dunnschichttransistoren fur flache Flussigkristall-Bildschirme, PhD thesis,Univ. Stuttgart, 1994

Page 12: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

680 References

[295] S.M. Gorbatkin, L.A. Berry, J.B. Roberto: Behavior of Ar plasmas formed in a mirrorfield electron cyclotron resonance microwave ion source, J. Vac. Sci. Technol. A 8(6),2893 − 2899 (1990)

[296] A. Ghanbari, M.S. Ameen, R.S. Heinrich: Characterization of a large volume electroncylotron resonance plasma for etching and deposition of materials, J. Vac. Sci. Technol.A 10(4), 1276 − 1280 (1992)

[297] K.K. Ko, S.W. Pang, M. Dahimene: Relating electric field distribution of an electroncyclotron resonance cavity to dry etching characteristics, J. Vac. Sci. Technol. A 14(4),2020 − 2025 (1996)

[298] J.D. Jackson: ibid, p. 254 f.[299] M.A. Heald, C.B. Wharton: Plasma Diagnostics with Microwaves, John Wiley & Sons,

Inc., New York/London/Sydney, 1965, p. 326[300] E. Ghanbari, I. Trigor, T. Nguyen: A broad beam electron cyclotron resonance ion

source for sputtering etching and deposition of material, J. Vac. Sci. Technol. A 7(3),918 − 924 (1989)

[301] W. Moller: Plasma and Surface Modeling of the Deposition of Hydrogenated CarbonFilms from Low-Pressure Methane Plasmas, Appl. Phys. A 56, 527 − 546 (1993)

[302] A.M. Marakhtanov, M. Tuszewski, M.A. Lieberman, A.J. Lichtenberg, P. Chabert:Stable and instable behavior of inductively coupled electronegative discharges, J. Vac.Sci. Technol. A 21(6), 1849 − 1864 (2003)

[303] H.R. Kaufman, P.D. Reader: Experimental Performance of Ion Rockets EmployingElectron Bombardement Sources, Am. Rocket Soc. Paper No. 1374-60, 3 − 20 (1960)

[304] H.R. Kaufman: An Ion Rocket with an Electron-Bombardement Ion Source, NASATechn. Note TN D-585, 1 − 39, Jan. 1961

[305] L.D. Bollinger: Ion Milling for Semiconductor Production Processes, Solid State Tech-nol. 20(11), 66 − 70 (1977)

[306] D. Bollinger, R. Fink: A New Production Technique: Ion Milling, Solid State Technol.23(11), 79 − 84 (1980)

[307] H.R. Kaufman: Technology of Electron-Bombardement Ion Thrusters, in Advancesin Electronics and Electron Physics, Vol. 36, Academic Press, New York/San Fran-cisco/London, 1974, 265 − 373

[308] R. Lossy, J. Engemann: RF broad-beam ion source for reactive sputtering, Vacuum36, 973 (1986)

[309] T.W. Jolly, P. Blackborrow: Microwave ion beam sources for reactive etching andsputter deposition applications, Rev. Sci. Instrum. 61, 297 (1990)

[310] Y. Jongen, C.M. Lyneis: in The Physics and Technology of Ion Sources, ed. by J.C.Brown, John Wiley & Sons, New York, N.Y., 1989

[311] B. Wolf: Handbook of Ion Sources, CRC Press, Boca Raton, Fla., 1995[312] H.W. Loeb, J. Freisinger, K. Groh, W. Pinks, H.G. Bischoff, P. Schaefer, R. Schmiele:

Recent Tests of the RIT 10-Engine at Giessen University, AIAA-Paper 76-1037(American Institute of Aeronautics and Astronautics), Key Biscayne, Fla., U.S.A.(1976)

[313] G. Aston, H.R. Kaufman: Ion Beam Divergence Characteristics of Three-Grid Accel-erator Systems, AIAA-Paper 78-669, San Diego, Calif., U.S.A. (1978)

[314] T. Jolly: Characterization of Ion Sources, Chap. 2/sec. 14 in Handbook of Ion Sources,ed. by B. Wolf, CRC Press, Boca Raton, Fla., 1995

[315] H.R. Kaufman: Technology of Beam Sources Used in Sputtering, J. Vac. Sci. Technol.15(2), 272 − 276 (1978)

[316] H.R. Kaufman, R.S. Robinson: Ion Source Design for Industrial Application, AIAA-J.20, 745 − 760 (1982)

[317] H.R. Kaufman, J.J. Cuomo, J.M.E. Harper: Technology and applications of broad-beam ion sources used in sputtering. Part I. Ion source technology, J. Vac. Sci. Technol.21(3), 725 − 736 (1982)

Page 13: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

References 681

[318] G. Aston, H.R. Kaufman, P.J. Wilburn: Ion Beam Divergence Characteristics of Two-Grid Accelerator Systems, AIAA J. 1(5), 516 − 524 (1978)

[319] J.M.E. Harper, J.J. Cuomo, P.A. Leary, G.M. Summa, H.R. Kaufman, F.J. Bresnock:Low Energy Ion Beam Etching, J. Electrochem. Soc. 128(5), 1077 − 1083 (1981)

[320] H.R. Kaufman: Accelerator-System Solutions for Broad-Beam Ion Sources, AIAA J.15(7), 1025 − 1034 (1977)

[321] A. Hayes: private communication, Plainview, N.Y., 1998[322] D. Korzec: Kapazitiv gekoppelte Hochfrequenz-IOnenquelle mit raialer Anordnung der

Anregungselektroden fur reaktives Ionenstrahlatzen, VDI-Verlag, Reihe 9: Elektronik,Nr. 160, Dusseldorf 1993, p. 82

[323] E.K.Wahlin, M. Watanabe, J. Shimonek, D. Burtner, D. Siegfried: Enhancement ofcollimated low-energy broad-beam ion source with four-grid accelerator system, Appl.Phys. Lett. 83(23), 4722 − 4724 (2003)

[324] V. Kanarov, A. Hayes, R. Yevtukhov, I. Kameyama, D. Siegfried, E. Wahlin: Inves-tigation of a rf inductively coupled plasma ion source capable of highly uniform andcollimated ion-beam generation, Rev. Scient. Instr. 77, 03B515-1 − 03B515-5 (2006)

[325] H. Westermeier: private communication, Munich, Bavaria, 1989[326] H.R. Kaufman, R.S. Robinson, W.E. Hughes: Characteristics, Capabilities, and Appli-

cations of Broad-Beam Sources, Commonwealth Scientific Corporation, 500 PendletonSt., Alexandria, Va., p. 28

[327] B. Wolf: Handbook of Ion Sources, CRC Press, Boca Raton, Fla., 1995, p. 233[328] H.R. Kaufman: Broad-beam ion sources: Present status and future directions, J. Vac.

Sci. Technol. A 4(3), 764 − 771 (1986)[329] J. Daleiden: Trockenatzverfahren fur die Herstellung von monolithisch integrierten

optoelektronischen Schaltkreisen, PhD thesis, RWTH Aachen, Germany, 1997[330] H.M. Mott-Smith, I. Langmuir: The Theory of Collectors in Gaseous Discharges,

Phys. Rev. 28, 727 − 763 (1926)[331] A. Amarotico: VCSEL-Trockenatzen in chlorhaltigen Plasmen, master thesis, Univer-

sity of Applied Sciences, Munich, Bavaria, 2002[332] F. Gaborian, M.-C. Peignon, G. Cartry, L. Rolland, D. Eon, C. Cardinand, G. Tur-

ban: Langmuir probe measurements in an inductively coupled plasma: Electron energydistribution functions in polymerizing fluorocarbon gases used for selective etching ofSiO2, J. Vac. Sci. Technol. A 20(3), 919 − 927 (2002)

[333] C.A. Moore, G.P. Davis, R.A. Gottscho: Sensitive, Non-Intrusive, In-Situ Measure-ment of Temporally and Spatially Resolved Plasma Electric Fields, Phys. Rev. Lett.52(7), 538 − 541 (1984)

[334] C.B. Zarowin: Relation between the rf discharge parameters and plasma etch rates,selectivity and anisotropy, J. Vac. Sci. Technol. A2(4), 1537 − 1549 (1984)

[335] U. Czarnetzki, D. Luggenholscher, H.F. Dobele: Space and Time Resolved ElectricField Measurements in Helium and Hydrogen RF-Discharges, Plasma Sources Sci.Technol. 8, 230 − 248 (1999)

[336] M. Ali Kettani, M.F. Hoyaux: Plasma Engineering, Butterworth, London, England,1973, p. 164 f.

[337] M.J. Kushner: A kinetic study of the plasma-etching process: II: Probe measurementsof electron properties in an rf plasma-etching reactor, J. Appl. Phys. 53(4), 2939−2946(1982)

[338] R.L.F. Boyd: The Collection of Positive Ions by a Probe in an Electrical Discharge,Proc. Roy. Soc. (London) 201, 329 − 347 (1950)

[339] M.J. Druyvesteyn, F.M. Penning: ibid, 87 − 174 (1940)[340] J.D. Swift, M.J.R. Schwar: ibid, p. 2 ff.[341] W. Mohl: Plasmadiagnostische Untersuchungen mit Doppel- und Dreifachsonden an

einem Labormodell des Ionentriebwerkes RIT 10, master thesis, Liebig-Universitat,Gießen, Hesse, 1975

Page 14: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

682 References

[342] J.D. Swift, M.J.R. Schwar: ibid, p. 137 ff.[343] A. Boschi, F. Magistrelli: Effect of R.F. signal on the characteristic of a Langmuir

probe, Nuovo Cimento 29(2), 487 − 499 (1963)[344] R. Winkler, H. Deutsch, J. Wilhelm, C. Wilke: Electron Kinetics of Weakly Ionized

HF Plasmas I. Direct Treatment and Fourier Expansion, Beitr. Plasmaphysik 24(3),285 − 302 (1984)

[345] R. Winkler, J. Wilhelm, A. Hess: Main Feautures of Electron Kinetics in CollisionDominated Steady State RF Plasma, Ann. Phys. (Leipzig), 7. Ser. 24(4−6), 537−558(1985)

[346] V.A. Godyak, R.B. Piejak, B.M. Alexandrovich: Measurements of electron energydistribution in low-pressure RF discharges, Plasma Sources Sci. Technol. 1(1), 36−58(1992)

[347] U. Flender, B.H. Nguyen Thi, K. Wiesemann, N.A. Khromov, N.B. Kolokolov: RFharmonic suppression in Langmuir probe measurements in RF discharges, PlasmaSources Sci. Technol. 5(1), 61 − 69 (1996)

[348] N. Hershkowitz: How Langmuir Probes Work, Chapter 3 in Plasma Diagnostics, Vol.I, ed. by O. Auciello and D. Flamm, Academic Press, Inc., New York, N.Y., p. 162 ff.

[349] A. Sommerfeld: Vorlesungen uber Theoretische Physik VI: Partielle Differentialglei-chungen der Physik, 6. Auflage, Akademische Verlagsgesellschaft Geest & Portig KG,Leipzig, 1966, p. 79 f.

[350] K. Yamamoto, T. Okuda: On the Floating Probe Method for the Measurement inIonized Gases, J. Phys. Soc. Japan 11, 57 − 68 (1956)

[351] J.D. Swift, M.J.R. Schwar: ibid, p. 151 f.[352] B.P. Wood, M.A. Lieberman, A.J. Lichtenberg: Sheath Motion in a Capacitively Cou-

pled Radio Frequency Discharge, IEEE Trans. Plasma Sci. PS-19(4), 619−627 (1991)[353] D. Vender, R.W. Boswell: Numerical Modelling of Low-Pressure RF Plasmas, IEEE

Trans. Plasma Sci. PS-18(4), 725 − 732 (1990)[354] D.M. Manos, J.L. Cecchi, C.W. Cheah, H.F. Dylla: Diagnostics of Low Temperature

Plasmas: The Electron Component, Thin Solid Films 195, 319 − 336 (1991)[355] J.G. Laframboise: Theory of Cylindrical and Spherical Langmuir Probe in a Collision-

less Plasma at Rest, in Rarified Gas Dynamics, ed. by J.H. Leeuw, Academic Press,New York, N.Y., U.S.A., S. 22 − 44

[356] M. Mausbach: Parametrization of the Laframboise theory for cylindrical Langmuirprobe analysis, J. Vac. Sci. Technol. A 15(6), 2923 − 2929 (1997)

[357] A. Karamcheti, Ch. Steinbruchel: Parametrization of Laframboise’s results for spheri-cal and cylindrical Langmuir probes, J. Vac. Sci. Technol. A 17(5), 3051−3056 (1999)

[358] J.D. Swift, M.J.R. Schwar: ibid, S. 181, p. 222[359] J.D. Swift, M.J.R. Schwar: ibid, p. 96[360] J.D. Swift, M.J.R. Schwar: ibid, p. 12[361] P. Awakowicz: private communication, Munich, Bavaria, 2001[362] J.E. Allen, R.L.F. Boyd, P. Reynolds: The Collection of Positive Ions by a Probe

Immersed in a Plasma, Proc. Roy. Soc. B 70, 297 − 304 (1957)[363] I.B. Bernstein, I. Rabinowitz: Theory of Electrostatic Probes in a Low-Density Plasma,

Phys. Fluids 2, 112 − 121 (1959)[364] I. Langmuir: The Effect of Space Charge and Initial Velocities on the Potential Dis-

tribution and Thermoionic Current between Parallel Plate Electrodes, Phys. Rev. 21,419 − 435 (1923)

[365] I. Langmuir, K.B. Blodgett: Currents Limited by Space Charge between Coaxial Cylin-ders, Phys. Rev. 22, 347 − 356 (1923)

[366] J.D. Swift, M.J.R. Schwar: ibid, p. 67[367] S.H. Lam: The Langmuir Probe in a Collisionless Plasma, Phys. Fluids 8, 73 − 87

(1965)

Page 15: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

References 683

[368] I. Langmuir, K.T. Compton: Electrical Discharges in Gases, Part II. FundamentalPhenomena in Electrical Discharges, Rev. Modern Phys. 3, 191 − 257 (1931)

[369] M.V. Malyshev, V.M. Donnelly, A. Kornblit, N.A. Ciampa, J.I. Colonell, J.T.C. Lee:Langmuir probe studies of a transformer-coupled plasma, aluminum etcher, J. Vac.Sci. Technol. A 17(2), 480 − 492 (1999)

[370] M. Tuszewski, J.A. Tobin: Characterization of a low-frequency inductively coupledplasma source, J. Vac. Sci. Technol. A 14(3), 1096 − 1101 (1996)

[371] Y.H. Lee, I.E. Heidenreich III, G. Fortuno: Plasma Characterization of an ElectronCyclotron Resonance-Radiofrequency Hybrid Plasma Reactor, J. Vac. Sci. Technol. A7(3), 903 − 907 (1989)

[372] W.L. Nighan: Electron Energy Distributions and Collision Rates in Electrically ExcitedN2, CO and CO2, Phys. Rev. A 2(5), 1989 − 2000 (1970)

[373] W.E. Kohler: Electron Energy Distribution for RF Glow Discharges in HCl and CCl4,Proc 18th Intern. Conf. Phenomena in Ionized Gases, Swansea, ed. by W.T. Williams,1987

[374] H.W. Rundle, D.R. Clark, J.M. Deckers: Electron Energy Distribution Functions inan O2 Glow Discharge, Can. J. Phys. 51, 144 − 148 (1973)

[375] D. Maundrill, J. Slatter, A.J. Spiers, C.C. Welch: Electrical Measurements of RF-Generated Plasmas Using a Driven Electrostatic Probe Technique, J. Phys. D: Appl.Phys. 20, 815 − 819 (1987)

[376] F. Schneider: Zum Mechanismus der Hochfrequenzentladung zwischen ebenen Platten,Z. Angew. Phys. 4, 456 − 462 (1954)

[377] M. Klick: private communication, Munich, Bavaria, 2003[378] G. Franz: Dry Etching Techniques of III/V Semiconductors: Plasma, Structures, Dam-

age, Recent Res. Devel. Vacuum. Sci. & Technol. 3, 221 − 261 (2001)[379] V.A. Godyak, R.B. Piejak, B.M. Alexandrovich: Electrical Characteristics of Parallel-

Plate RF Discharges in Argon, IEEE Transact. Plasma Sci. PS-19(4), 660−676 (1991)[380] G. Franz: Critical Review: Comprehensive analysis of capacitively coupled chlorine-

containing plasmas, J. Vac. Sci. Technol. A 23(2), 369 − 387 (2005)[381] G. Franz: Some aspects of dissipation mechanisms in chlorine-containing capacitively

coupled discharges, J. Vac. Sci. Technol. A 24(4), 1360 − 1365 (2006)[382] B. Andries, G. Ravel, L. Peccoud: Electrical characterization of radio-frequency

parallel-plate capacitively coupled discharges, J. Vac. Sci. Technol. A 7(4), 2774−2783[383] K. H. Gindra: private communication, Dresden, Saxony, 2001[384] V.M. Donnelly: A simple optical emission method for measuring percent dissociations

of feed gases in plasmas: Application to Cl2 in a high-density helical resonator plasma,J. Vac. Sci. Technol. A 14(3), 1076 − 1087 (1996)

[385] M.V. Malyshev, V.M. Donnelly: Trace Rare Gases Optical Emission Spectroscopy:Nonintrusive Method for Measuring Electron Temperatures in Low-Pressure, Low-Temperature Plasmas, Phys. Rev. E 60(5), 6016 − 6029 (1999)

[386] A.R. Striganov, N.S. Sventitskii: Tables of Spectral Lines of Neutral and IonizedAtoms, IFI/Plenum Press, New York/Washington, 1968

[387] P. Awakowicz: Diagnostics in Reactive Plasmas with Optical Emission Spectroscopy,Probe Measurement and Energy-Mass Spectrometry, Materials Science Forum 287 -288, 3 − 22 (1998)

[388] W.L. Wiese, M.W. Smith, S.M. Miles: Atomic Transition Probabilities, vols. I and II,U.S. National Bureau of Standards, 1966 and 1969, Washington, DC (Nat. Std. Ref.Data Ser. 22)

[389] M.V. Malyshev, V.M. Donnelly, S.W. Downey, J.I. Colonell, N. Layadi: Diagnosticstudies of aluminum etching in an inductively coupled plasma system: Determinationof electron temperature and connections to plasma-induced damage, J. Vac. Sci. Tech-nol. A 18(3), 849 − 859 (2000)

Page 16: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

684 References

[390] M.V. Malyshev, V.M. Donnelly: Determination of electron temperatures in plasmasby multiple rare gas optical emission, and implications for advanced actinometry, J.Vac. Sci. Technol. A 15(3), 550 − 558 (1997)

[391] V.M. Donnelly, M.V. Malyshev, A. Kornblit, N.A. Ciampa, J.I. Colonell, J.T.C. Lee:Trace Rare Gases Optical Emission Spectroscopy for Determination of Electron Tem-peratures and Species Concentrations in Chlorine-Containing Plasmas, Jpn. J. Appl.Phys. 37(I, 4B), 2388 − 2393 (1998)

[392] J.W. Coburn, M. Chen: Optical emission spectroscopy of reactive plasmas: A methodfor correlating emission intensities to reactive particle density, J. Appl. Phys. 51(6),3134 − 3136 (1980)

[393] P.V. Feltsan, I.P. Zapesochnyi: Excitation of Inert Gases During Electron-Atom Col-lisions. III: Argon, Ukr. Fiz. Zh. 12(4), 586 − 592 (1967) and Ukr. Fiz. Zh. 12(4),592 − 598 (1967) (english cover-to-cover translation)

[394] P.V. Feltsan: Excitation of Inert Gases by Electron-Atom Collisions. IV: Krypton,Ukr. Fiz. Zh. 12(9), 1423 − 1429 (1967) Ukr. Fiz. Zh. 12(9), 1376 − 1382 (1967)(english cover-to-cover translation)

[395] P.V. Feltsan, I.P. Zapesochnyi: Excitation of Inert Gas Atoms in Collisions with Elec-trons. V: Xenon, Ukr. Phys. J. 13(3), 143 − 147 (1968)

[396] A.A. Mityureva, N.P. Penkin, V.V. Smirnov: Measurement of Cross Sections for Step-wise Excitation of Inert Gas Atoms from Metastable States by Electron Impact. Ex-perimental Results.Argon, Opt. Spectrosc. (USSR) 66(4), 463 − 465 (1989)

[397] C. Smytkowski, K. Maciag, G. Karwasz: Absolute Electron-Scattering Total CrossSection Measurements for Noble Gas Atoms and Diatomic Molecules, Physica Scripta54(2), 271 − 280 (1996)

[398] T. Mehdi, P.B. Legrand, J.P. Dauchot, M. Wautelet, M. Hecq: Optical Emission Di-agnostics of an RF Magnetron Sputtering Discharge, Spectrochimica Acta 48 B(8),1023 − 1033 (1993)

[399] G. Franz, A. Kelp, P. Meßerer: Analysis of chlorine-containing plasmas applied inIII/V semiconductor processing, J. Vac. Sci. Technol. A 18(5), 2053 − 2061 (2000)

[400] U. Fantz: private communication, Bad Tolz, 2001[401] A.P. Richards, B.E. Thompson, K.D. Allen, H.H. Sawin: Atomic chlorine concentra-

tion measurements in a plasma etching reactor: I. A comparison of infrared absorptionand optical emission actinometry, J. Appl. Phys. 62(3), 792 − 798 (1987)

[402] B. Chapman: Glow Discharge Processes: Sputtering and Plasma Etching, John Wiley& Sons, New York, N.Y., U.S.A., 1980, p. 30

[403] J.D. Jackson: ibid, p. 600 ff.[404] P.W. Atkins: Physical Chemistry, Oxford University Press, Oxford, 1978, p. 550[405] G.M. Barrow: Introduction to Molecular Spectroscopy, McGraw Hill, New York/Lon-

don/Sydney, 1962, p. 53[406] M. Born: ibid, p. 540[407] R.A. Porter and W.R. Harshberger: Gas Rotational Temperature in an RF plasma, J.

Electrochem. Soc. 126(3), 460 − 464 (1979)[408] V.M. Donnelly and M.V. Malyshev: Diagnostics of inductively coupled chlorine

plasmas: Measurement of the neutral gas temperature, Appl. Phys. Lett. 77(16),2467 − 2469 (2000)

[409] M.V. Malyshev and V.M. Donnelly: Diagnostics of inductively coupled chlorine plas-mas: Measurement of electron and total positive ion densities, J. Appl. Phys. 90(3),1130 − 1137 (2001)

[410] M.J. Schabel, V.M. Donnelly, A. Kornblit and W.W. Tai: Determination of electrontemperature, atomic fluorine concentration, and gas temperature in inductively cou-pled fluorocarbon/rare gas plasmas using optical emission spectroscopy, J. Vac. Sci.Technol. A 20(2), 555 − 563 (2002)

Page 17: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

References 685

[411] B. Bai and H. Sawin: Neutral gas temperature measurements within transformer cou-pled toroidal argon plasmas, J. Vac. Sci. Technol. A 22(5), 2014 − 2021 (2004)

[412] Q. Wang, F. Doll, V.M. Donnelly, D.J. Economou, N. Sadeghi, G. Franz: Experimentaland theoretical study of the effect of gas flow on gas temperature in an atmosphericpressure microplasma, J. Phys. D: Appl. Phys. 40, 4202 (2007)

[413] J. I. Steinfeld: Molecules and radiation: An introduction to modern molecular spec-troscopy, MIT Press, Cambridge, Mass., 2nd edn. (1985)

[414] E.J. Tonnis and D.B. Graves: Neutral gas temperatures measured within a high-density,inductively coupled plasma abatement device, J. Vac. Sci. Technol. A 20(5), 1787−1795(2002)

[415] G.P. Davis and R.A. Gottscho: Measurement of spatially resolved gas-phase plasmatemperatures by optical emission and laser-induced fluorescence spectroscopy, J. Appl.Phys. 54(6), 3080 − 3086 (1983)

[416] G. Franz, P. Meßerer: Monitoring of Reactive Chlorine Plasmas with Electrical Meth-ods, 2nd European Workshop on Advanced Equipment Control/Advanced ProcessControl (AEC/APC), Dresden, Mar 30 − 31, 2000

[417] D. Vempaire, G. Cunge: Probing radical kinetics in the afterglow of pulsed dischargesby absorption spectroscopy with light emitting diodes: Application to BCl radical, Appl.Phys. Lett. 94(1), 0215041−3 (2009)

[418] G. Franz: High-rate etching of GaAs using chlorine atmospheres doped with a Lewisacid, J. Vac. Sci. Technol. A 16(3), 1542 − 1546 (1998)

[419] M.V. Malyshev, V.M. Donnelly, A. Kornblit, N.A. Ciampa: Percent-dissocation of Cl2in inductively coupled, chlorine-containing plasmas, J. Appl. Phys. 84(1), 137 − 146(1998)

[420] M.V. Malyshev, V.M. Donnelly, S. Samukawa: Ultrahigh frequency versus inductivelycoupled chlorine plasmas: Comparisons of Cl and Cl2 concentrations and electrontemperatures measured by trace rare gases optical emission spectroscopy, J. Appl. Phys.84(3), 1222 − 1230 (1998)

[421] M.J. Kushner, W.Z. Collison, M.J. Grapperhaus, J.P. Holland, M.S. Barnes: A three-dimensional model for inductively coupled plasma etching reactors: Azimuthal symme-try, coil properties, and comparison to experiments, J. Appl. Phys. 80(3), 1337−1344(1996)

[422] E. Meeks, J.W. Shon: Modeling of Plasma-Etch Processes Using Well Stirred Reac-tor Approximations and Including Complex Gas-Phase and Surface Reactions, IEEETrans. Plasma Sci. PS-23(4), 539 − 549 (1995)

[423] G.A. Hebner: Negative ion density in inductively coupled chlorine plasmas, J. Vac.Sci. Technol. A 14(4), 2158 − 2162 (1996)

[424] C.B. Fleddermann, G.A. Hebner: Negative ion densities in chlorine- and borontrichloride-containing inductively coupled plasmas, J. Vac. Sci. Technol. A 15(4),1955 − 1962 (1997)

[425] R. Subramonium, M. Kushner: Two-dimensional modeling of long-term transients ininductively coupled plasmas using moderate computational parallelism. I. Ar pulsedplasmas, J. Vac. Sci. Technol. A 20(2), 313 − 324 (2002)

[426] R. Subramonium, M. Kushner: Two-dimensional modeling of long-term transientsin inductively coupled plasmas using moderate computational parallelism. II. Ar/Cl2pulsed plasmas, J. Vac. Sci. Technol. A 20(2), 325 − 334 (2002)

[427] R. Subramonium, M. Kushner: Extraction of negative ions from pulsed electronega-tive inductively coupled plasmas having a radio-frequency substrate bias, J. Vac. Sci.Technol. A 22(3), 534 − 544 (2004)

[428] G.A. Hebner, M.G. Blain, T.W. Hamilton, C.A. Nichols, R.L. Jarecki: Surface depen-dent electron and negative ion density in inductively coupled discharges, J. Vac. Sci.Technol. A 17(6), 3172 − 3178 (1999)

Page 18: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

686 References

[429] G.A. Hebner, M.G. Blain, T.W. Hamilton: Influence of surface material on the boronchloride density in inductively coupled discharges, J. Vac. Sci. Technol. A 17(6), 3218−3224 (1999)

[430] A.M. Efremov, D.-P. Kim, C.-I. Kim: Inductively coupled Cl2/Ar plasma: Experimentalinvestigation and modeling, J. Vac. Sci. Technol. A 21(4), 1568 − 1573 (2003)

[431] A.W. Wright: On the Production of Transparent Metallic Films by the Electrical Dis-charge in Exhausted Tubes, Am. J. Sci. 13(3rd ser.)(1), 49 − 55 (1877)

[432] G.K. Wehner: ibid, p. 7[433] D.M. Mattox: The History of Vacuum Coating Technology: Part II, Vacuum Technol-

ogy and Coating 3(3), 36 − 46 (2002)[434] J. Stark: Uber die zerstaubende Wirkung der Kanalstrahlen (Emission sekundarer

Atomstrahlen), Z. Elektrochem. 14, 752 − 756 (1908)[435] J. Stark: Zur Theorie der Zerstaubung durch Atomstrahlen, ibid, 15, 509−512 (1909)[436] R. Seeliger, K. Sommermeyer: Bemerkungen zur Theorie der Kathodenzerstaubung,

Z. Phys. 93, 692 − 695 (1935)[437] G.K. Wehner: Sputtering of Metal Single Crystals by Ion Bombardement, J. Appl.

Phys. 26(18), 1056 − 1057 (1955)[438] G.K. Wehner, G. S. Anderson: The Nature of Physical Sputtering, Chapter 3 in Hand-

book of Thin Film Technology, ed. by L.I. Maissel and R. Glang, McGraw Hill, NewYork, p. 24

[439] B. Chapman: ibid, p. 196[440] Chr. Linder, W. Rietzler, H. Auer: Clusterline — Making 300 mm Possible, Unaxis

Chip Heft 6, 47 − 50 (Jan. 2002)[441] B. Chapman: ibid, p. 178[442] G.K. Wehner, G.S. Anderson: The Nature of Physical Sputtering, Chapter 3 in Hand-

book of Thin Film Technology, ed. by L.I. Maissel and R. Glang, McGraw Hill, NewYork, p. 3

[443] P. Sigmund: Theory of Sputtering. I. Sputtering Yield of Amorphous and Polycrys-talline Targets, Phys. Rev. 184(2), 383 − 416 (1969)

[444] M.W. Thompson: The Energy Spectrum of Ejected Atoms During the High EnergySputtering of Gold, Phil. Mag. 18, 377 − 414 (1968)

[445] W. Brandt, R. Laubert: Unified Sputtering Theory, Nucl. Instrum. Methods 47, 201−209 (1967)

[446] H.F. Winters: Physical Sputtering: A Discussion of Experiment and Theory, Amer.Chem. Soc. Adv. Chem. Ser. 158, 1 − 29 (1976)

[447] I.S.T. Tsong, D.J. Barber: Review: Sputtering Mechanisms for Amorphous and Poly-crystalline Solids, J. Mater. Sci. 8, 123 − 135 (1973)

[448] W. Eckstein: Computer Simulation of Ion-Solid Interactions, Springer Series in Ma-terials Science, Bd. 10, Springer-Verlag, Berlin, 1991

[449] P. Sigmund: Sputtering by Ion Bombardement: Theoretical Concepts, in Sputtering byParticle Bombardement, Vol. I, ed. by R. Behrisch, Springer-Verlag, Berlin, 1981

[450] C.A. Croxton: Liquid State Physics, Cambridge University Press, 1974, Chapter 2[451] O. Almen, G. Bruce: Collection and Sputtering Experiments with Noble Gas Ions,

Nucl. Instrum. Methods 11, 257 − 278 (1961)[452] J.P. Biersack, L.G. Hagmark: A Monte-Carlo Computer Program for the Transport of

Energetic Ions in Amorphous Targets, Nucl. Instrum. Methods 174, 257− 269 (1980)[453] J.F. Ziegler, J.P. Biersack, U. Littmark: The Stopping and Range of Ions in Matter,

Vol. 1, Pergamon, New York, N.Y., 1985[454] G.K. Wehner: Annual Report on Sputtering Yields, ONR Contr. Nonr. 15, 1589 (1959)[455] N. Lagreid, G.K. Wehner: Sputtering Yields of Metals for Ar+ and Ne+ Ions with

Energies from 50 to 600 eV, J. Appl. Phys. 32(3), 365 − 369 (1961)

Page 19: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

References 687

[456] M.W. Thompson, R.S. Nelson: Evidence for Heated Spikes in Bombarded Gold fromthe Energy Spectrum of Atoms Ejected by 43 keV Ar+ and Xe+ Ions, Phil. Mag. 7,2015 − 2026 (1962)

[457] R.V. Stuart, G.K. Wehner, G.S. Anderson: Energy Distribution of Atoms Sputteredfrom Polycrystalline Metals, J. Appl. Phys. 40(2), 803 − 812 (1969)

[458] D.E. Harrison jr., N.S. Levy, J.P. Johnson III, H.M. Effron: Computer Simulation ofSputtering, J. Appl. Phys. 39, 3742 − 3761 (1968)

[459] S.C. Park, R.A. Stansfield, D.C. Clary: Sputtering of a Cu Solid by SiCl4 Molecules,J. Phys. D: Appl. Phys. 20, 880 − 888 (1987)

[460] W.D. Westwood: Calculation on Deposition Rates in Diode Sputtering Systems, J.Vac. Sci. Technol. 15(11), 1 − 9 (1978)

[461] B.L. Sopori, W.S.C. Chang: Some Investigations on Deposition and Etching Profilesin Masked RF Sputtering, J. Vac. Sci. Technol. 14(3), 782 − 785 (1977)

[462] J.M.E. Harper, J.J. Cuomo, R.J. Gambino, H.R. Kaufman, R.S. Robinson: Mean FreePath of Negative Ions in Diode Sputtering, J. Vac. Sci. Technol. 15(4), 1597 − 1606(1978)

[463] D.W. Pashley: The Growth and Structure of Thin Films, Chapter 3 in Thin Films,American Society for Metals, Metals Park, OH, 59 − 98 (1963)

[464] D.W. Pashley, M.J. Strowell, M.H. Jacobs, T.J. Law: The Growth and Structure ofGold and Silver Deposits Formed by Evaporation Inside an Electron Microscope, Phil.Mag. 10, 127 − 158 (1964)

[465] C.A. Neugebauer: Condensation, Nucleation, and Growth of Thin Films, Chapter 8 inHandbook of Thin Film Technology, ed. by L.I. Maissel and R. Glang, McGraw Hill,New York, N.Y., U.S.A., 1970

[466] J.A. Thornton: Influence of Substrate Temperature and Deposition Rate on Structureof Thick Sputtered Cu Coatings, J. Vac. Sci. Technol. 12(4), 830 − 835 (1975)

[467] P.W. Atkins: ibid, p. 191 ff.[468] A. Brunegger: private communication, 1991[469] J.A. Thornton: The microstructure of sputter-deposited coatings, J. Vac. Sci. Technol.

A 4(6), 3059 − 3065 (1986)[470] G.K. Wolf, W. Ensinger, M. Barth: Recent Progress in Ion Beam Assisted Evaporation

for the Low Temperature Modification of Film Stress, Hardness and Porosity, IPATProc. 7, 36 (1989)

[471] M.P. Lepselter: Beam-Lead Technology, Bell System Techn. J. 45(2), 233−254 (1966)[472] M.-A. Nicolet: Diffusion barriers in thin films, Thin Solid Films 52, 415− 443 (1978)[473] M.-A. Nicolet, M. Bartur: Diffusion barriers in layered contact structures, J. Vac. Sci.

Technol. 19(3), 786 − 793 (1981)[474] D.M. Mattox, J.E. McDonald: Interface Formation during Thin Film Deposition, J.

Appl. Phys. 34, 2493 − 2494 (1963)[475] C. Hudson, R.E. Somekh: Origin of stresses in sputtered elemental and alloy thin

films, J. Vac. Sci. Technol. A 14(4), 2169 − 2174 (1996)[476] H. Vahrenkamp: Was wissen wir uber die Metall-Metallbindung? Angew. Chem. 90,

408 − 416 (1978)[477] G. Franz: Oberflachentechnologie mit Niederdruckplasmen, 2nd edn., Springer-Verlag,

Berlin, 1994, p. 177[478] A.R. Bayly: Secondary Processes in the Evolution of Sputter-Topographics, J. Mater.

Sci. 7 404 − 412 (1972)[479] P. Sigmund: A Mechanism of Surface Micro-Roughening by Ion Bombardement, J.

Mater. Sci. 8, 1545 − 1553 (1973)[480] G. Franz: Kalte Plasmen, Springer-Verlag, Berlin, 1990, p. 149[481] G. Franz: Surface Roughening of Polished SiC Substrates, Mater. Sci. Semicond. Pro-

cess. 5/6, 525 − 527 (2003)

Page 20: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

688 References

[482] O. Auciello, R. Kelly, R. Iricibar: New Insight into the Development of PyramidalStructures on Bombarded Copper Surfaces, Rad. Effects 46, 105 − 118 (1980)

[483] G. Wehner: Influence of the Angle of Incidence on Sputtering Yields, J. Appl. Phys.30(11), 1762 − 1765 (1959)

[484] I.H. Wilson, M.W. Kidd: A Study of Cones Developed by Ion Bombardement of Gold,J. Mater. Sci. 6, 1362 − 1366 (1971)

[485] G. Carter, J.S. Colligon, M.J. Nobes: The Equilibrium Topography of Sputtered Amor-phous Solids II, J. Mater. Sci. 6, 115 − 117 (1971)

[486] G. Carter, J.S. Colligon, M.J. Nobes: The Growth of Topography during Sputtering ofAmorphous Solids, J. Mater. Sci. 8, 1473 − 1481 (1973)

[487] G. Carter, M.J. Nobes: The Theory of Development of Surface Morphology by SputterErosion Processes, in Ion Bombardement Modification of Surfaces, ed. by O. Aucielloand R. Kelly, Elsevier, Amsterdam/Oxford/New York/Tokyo, 1984, 163 − 224

[488] A.D.G. Stewart, M.W. Thompson: Microtopography of Surfaces by Ion-Bombarde-ment, J. Mater. Sci. 4, 56 − 60 (1969)

[489] G.K. Wehner, D.J. Hajicek: Cone Formation on Metal Targets during Sputtering, J.Appl. Phys. 42(3), 1145 − 1149 (1971)

[490] W.R. Hudson: Ion-Beam Texturing, J. Vac. Sci. Technol. 14(1), 286 − 289 (1977)[491] O. Auciello: A Critical Analysis on the Origin, Stability, Relative Sputtering Yield

and Related Phenomena of Textured Surfaces under Ion Bombardement, Rad. Effects60, 1 − 26 (1982)

[492] H.R. Kaufman, R.S. Robinson: Ion Beam Texturing of Surfaces, J. Vac. Sci. Technol.16(2), 175 − 178 (1979)

[493] S.M. Rossnagel, R.S. Robinson, H.R. Kaufman: Impact Enhanced Surface Diffusionduring Impurity Induced Sputter Cone Formation, Surface Sci. 123, 89 − 98 (1982)

[494] R.S. Robinson, S.M. Rossnagel: Diffusion Processes in Bombardement-Induced SurfaceTopography, in Ion Bombardement Modification of Surfaces, ed. by O. Auciello andR. Kelly, Elsevier, Amsterdam/Oxford/New York/Tokyo, 1984, 299 − 322

[495] S.M. Rossnagel, R.S. Robinson: Quasi-Liquid State Observed on Ion Beam Microtex-tured Structures, J. Vac. Sci. Technol. 20(3), 506 − 509 (1982)

[496] O. Auciello: Historical Overview of Ion-Induced Morphological Modification of Sur-faces, in Ion Bombardement Modification of Surfaces, ed. by O. Auciello and R. Kelly,Elsevier, Amsterdam/Oxford/New York/Tokyo, 1984, 1 − 25

[497] S.N. Rossnagel, R.S. Robinson: Monte Carlo Model of Topography Development duringSputtering, J. Vac. Sci. Technol. A 1(2), 426 − 429 (1983)

[498] M. Hou, M.T. Robinson: The conditions for total reflection of low-energy atoms fromcrystal surfaces, Appl. Phys. 17(4), 371 − 375 (1978)

[499] M.J. Nobes, J.S. Colligon, G. Carter: The Equilibrium Topography of Sputtered Amor-phous Solids, J. Mater. Sci. 4, 730 − 733 (1969)

[500] H. Dimigen, H. Luthje: An Investigation of Ion Etching, Philips Techn. Rev. 35(7/8),199 − 208 (1975)

[501] G. Franz: Kalte Plasmen, Springer-Verlag, Berlin, 1990, S. 102[502] G.C. Schwartz, P.M. Schaible: Reactive Ion Etching of Silicon: Temperature Effects,

Proc. Sympos. Plasma Etching & Deposition, 133 − 154 (1981)[503] I. Hussla, K. Enke, H. Grunwald, G. Lorenz, H. Stoll: In-situ Silicon-Wafer Temper-

ature Measurements during RF Argon-Ion Plasma Etching via Fluoroptic Thermom-etry, J. Phys. D: Appl. Phys. 20, 889 − 896 (1987)

[504] M.H. Sun, J.H. Kim, C.L. Sandberg: Advances in Fluoroptic Thermometry: New Ap-plications in Temperature Measurement, in Proc. Northeastern Conf. Exhib. Industr.Instrumentation and Control, 79 − 85 (1985)

[505] A. Mitchell, R.A. Gottscho: Plasma power dissipation at wafer surfaces measured usingpulsed photoluminescence spectroscopy, J. Vac. Sci. Technol. A 8(3), 1712−1715 (1990)

Page 21: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

References 689

[506] D. Hacman: Optische Messung der Substrat-Temperatur in der Vakuumaufdampftech-nik, Optik 28(2), 115 − 125 (1968)

[507] V.M. Donnelly, J.A. McCaulley: Infrared-laser interferometric thermometry: A nonin-trusive technique for measuring semiconductor wafer temperatures, J. Vac. Sci. Tech-nol. A 8(1), 84 − 92 (1990)

[508] V.M. Donnelly, D.E. Ibbotson, C.P. Chang: Interferometric thermometry measure-ments of silicon wafer temperatures during plasma processing, J. Vac. Sci. Technol.A10(4), 1060 − 1064 (1992)

[509] F. Stern: Dispersion of the Index of Refraction Near the Absorption Edge of Semicon-ductors, Phys. Rev. 133 A(6), 1653 − 1664 (1964)

[510] E.J. Egerton, A. Nef, W. Millikin, W. Cook, D. Baril: Positive Wafer TemperatureControl to Increase Dry Etch Throughput and Yield, Solid State Technol. 25(8), 84−87(1982)

[511] H.F. Winters, E. Kay: Gas Incorporation into Sputtered Films, J. Appl. Phys. 38(10),3928 − 3934 (1967)

[512] H.F. Winters, D.L. Raimondi, D.E. Horne: Proposed Model for the Composition ofSputtered Multicomponent Thin Films, J. Appl. Phys. 40(7), 2996 − 3006 (1969)

[513] B. Chapman: ibid, p. 221[514] G. Franz: Oberflachentechnologie mit Niederdruckplasmen, 2. Auflage, Springer-

Verlag, Berlin, 1994, S. 165[515] G. Franz: Kalte Plasmen, Springer-Verlag, Berlin, 1990, S. 108[516] O.C. Yonts, D.E. Harrison, jr.: Surface Cleaning by Cathode Sputtering, J. Appl. Phys.

31(9), 1583 − 1584 (1960)[517] D.T. Mudd, C. Davis, W.W. White, B. Kouk, M. Fletcher: Pressure-Based MFCs,

Semicond. Int. 25(3), 75 − 81 (2002)[518] R. de Gryse, D. Depla, J. Haemers: Poisoning During Sputtering of an Al-Target in

a Mixture of Ar and O2, Vac. Coating Technol. 3(2), 24 − 31 (2002)[519] D.J. Christie, D. Kovalevskii, D.E. Morgan, E.A. Seymour: A New Generation of

Power Supplies for Large Area Dual Magnetron Sputtering, Vac. Coating Technol.3(3), 30 − 34 (2002)

[520] G. Franz, B. Lange, S. Sotier: Characterization of sputtered indium tin oxide layersas transparent contact material, J. Vac. Sci. Technol. A 19(5), 2514 − 2521 (2001)

[521] H. Kaneko, K. Miyake, Y. Teramoto: Preparation and Properties of Reactively Sput-tered Tungsten Oxide Films, J. Appl. Phys. 53(4), 3070 − 3075 (1982)

[522] G. Kristen: private communication, Munich, 1993[523] L. Atternas, L. Thylen: Single-Layer Antireflection Coating of Semiconductor Lasers:

Polarization Properties and the Influence of the Laser Structure, J. Lightwave Technol.7(2), 426 − 430 (1989)

[524] B.N. Chapman, D. Downer, L.J.M. Guimaraes: Electron Effects in Sputtering andCosputtering, J. Appl. Phys. 45(5), 2115 − 2120 (1974)

[525] H.S.W. Massey, E.H.S. Burhop: ibid, p. 21 ff.[526] R.P.H. Chang, A.K. Sinha: Plasma Oxidation of GaAs, Appl. Phys. Lett. 29(1), 56−58

(1976)[527] G. Franz: Anodic oxidation of InP using a citric-acid-based solution, J. Appl. Phys.

63(2), 500 − 505 (1988)[528] B. Chapman: Glow Discharge Processes: Sputtering and Plasma Etching, John Wiley

& Sons, New York, N.Y., U.S.A., 1980, p. 215[529] G. Franz: Kalte Plasmen, Springer-Verlag, Berlin, 1990, p. 111[530] G. Franz: Oberflachentechnologie mit Niederdruckplasmen, 2. Auflage, Springer-

Verlag, Berlin, 1994, p. 170[531] J.A. Mawella, J.A. Sheward: Sputtered Alloy Coatings by Codeposition: Effects of Bias

Voltage, Thin Solid Films 193 & 194, 27 − 33 (1990)

Page 22: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

690 References

[532] M. Knudsen: Die Molekulstromung der Gase durch Offnungen und die Effusion, Ann.Phys. 28, 999 − 1016 (1909)

[533] G. Franz: Characterization of Gold Layers Selectively Plated by a Pulsed Current,Thin Solid Films 169(1), 105 − 115 (1989)

[534] M. Mansour, M.S. Salama, D.R. Arnell, A.A.F. Mostafa: Mechanical Characterizationof Si3N4 Coatings Deposited by Reactive Sputter Ion Plating, IPAT Proc. 7, 196−201(1989)

[535] D.W. Hoffman, M.R. Gaerttner: Modification of Evaporated Chromium by ConcurrentIon Bombardement, J. Vac. Sci. Technol. 17(1), 425 − 428 (1980)

[536] J.J. Cuomo, J.M.E. Harper, C.R. Guarneri, D.S. Yee, L.J. Attanasio, J. Angilello,C.T. Wu, R.H. Hammond: Modification of Niobium Film Stress by Low-Energy IonBombardement during Deposition, J. Vac. Sci. Technol. 20(3), 349 − 354 (1982)

[537] R.W. Knoll, E.R. Bradley: Thin Solid Films 117, 201 (1984)[538] C.P. Flynn: Point Defects and Diffusion, Clarendon Press, Oxford, U.K., 1972[539] J.M.E. Harper, J.J. Cuomo, R.J. Gambino, H.R. Kaufman: Modification of Thin Film

Properties by Ion Bombardement during Deposition, Chapter 4 in Ion BombardementModification of Surfaces, ed. by O. Auciello and R. Kelly, Elsevier, Amsterdam 1984,p. 153

[540] K. Hieber: Radio Frequency Sputter Deposition of Alloy Films, Siemens Forsch.- undEntw. Ber. 11(3), 145 − 148 (1982)

[541] G. Franz: Kalte Plasmen, Springer-Verlag, Berlin, 1990, p. 115[542] P. Luthier, F. Levy, H. Jotterand: Cosputtering Equipment Based on two Independent

RF Magnetron Sources in a UHV Chamber, IPAT Proc. 7, 37 − 41 (1989)[543] G. Franz: Kalte Plasmen, Springer-Verlag, Berlin, 1990, p. 117[544] C.W. Pitt: private communication, Geneva, 1989[545] J.J. Cuomo, R.J. Gambino: Influence of Sputtering Parameters on the Composition

of Multi-Component Films, J. Vac. Sci. Technol. 12(1), 79 − 83 (1975)[546] P. Chaudhari, J.J. Cuomo, R.J. Gambino: Amorphous Metallic Films for Bubble Do-

main Applications, IBM J. Res. Dev. 17(1), 66 − 68 (1973)[547] J.W. Coburn, E. Taglauer, E. Kay: A Study of the Neutral Species RF Sputtered from

Oxide Targets, Jpn. J. Appl. Phys. Suppl. 2, 501 − 504 (1974)[548] H. Schafer, H.G.v. Schnering: Metall-Metall-Bindungen bei niederen Halogeniden,

Oxyden und Oxydhalogeniden schwerer Ubergangsmetalle, Angew. Chem. 76, 833−868(1964)

[549] F. Shinoki, A. Itoh: Mechanism of RF Reactive Sputtering, J. Appl. Phys. 46(8),3381 − 3384 (1975)

[550] G. Francis: ibid, p. 177 ff.[551] R.E. Jones, H.F. Winters, L.J. Maissel: Effect of Oxygen on the RF-Sputtering Rate

of SiO2, J. Vac. Sci. Technol. 5, 84 − 87 (1968)[552] W.P. Allis: Motions of Ions and Electrons, in Handbuch der Physik, ed. by S. Flugge,

Vol. 21, part 1, Gasentladungen 1, Springer-Verlag, Berlin, 1956, p. 386[553] J.J. Thomson, G.P. Thomson: Conduction of Electricity through Gases, 3rd edn.,

Cambridge University Press, Cambridge, 1933 (unchanged print by Dover Publ., NewYork, N.Y., U.S.A., 1968), Vol. I, p. 223 ff.

[554] F.A. Green, B.N. Chapman: Electron Effects in Magnetron Sputtering, J. Vac. Sci.Technol. 13(1), 165 − 168 (1976)

[555] L. Maissel: Application of Sputtering to the Deposition of Films, Chapter 4 in Hand-book of Thin Film Technology, ed. by L.I. Maissel and R. Glang, McGraw Hill, NewYork, N.Y., U.S.A., 1970, p. 32

[556] H.G. Severin: Sputtern — Die Erzeugung dunner Schichten, Phys. in uns. Zeit 17(3),71 − 79 (1986)

[557] R. Benz, H. Hirscher: Money for Nothing . . . . . . and Chips for Free, Unaxis ChipHeft 6, 45 f. (Jan. 2002)

Page 23: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

References 691

[558] J.A. Thornton, D.W. Hoffman: Internal stress in amorphous silicon films depositedby cylindrical magnetron sputtering using Ne, Ar, Kr, Xe and Ar+H2, J. Vac. Sci.Technol. 18(2), 203 − 207 (1981)

[559] J.A. Thornton, D.W. Hoffman: The influence of discharge current on the intrinsicstress in Mo films deposited using cylindrical and planar magnetron sputtering sources,J. Vac. Sci. Technol. A 3(3), 576 − 579 (1985)

[560] G. Este, W.D. Westwood: A quasi-direct-current sputtering technique for the deposi-tion of dielectrics at enhanced rates, J. Vac. Sci. Technol. A 6(3), 1845− 1848 (1988)

[561] V.J. Minkiewicz, B.N. Chapman: Triode Plasma Etching, Appl. Phys. Lett. 34(3),192 − 193 (1979)

[562] J. Morley, H.R. Smith: High Vacuum Ion Production for Vacuum Deposition, J. Vac.Sci. Technol. 9(6), 1377 − 1378 (1972)

[563] E. Moll: Hard Wear Resistant Coatings, IPAT Proc. 7, 1 − 8 (1989)[564] D.G. Teer: A Magnetron Sputter Ion Plating System, IPAT Proc. 7, 145− 152 (1979)[565] J.B. Posthill, R.A. Rudder, S.V. Hattangady, G.G. Fountain, D.J. Vitkavage, R.J.

Markunas: Characterization of Epitaxial Ge Films Grown by Remote Plasma En-hanced Chemical Vapor Deposition on Ge (111) and GaAs (111) Substrates, J. Vac.Sci. Technol. A7(3), 1130 − 1135 (1989)

[566] W. Kasper, R. Plattner, J. Eichmeier: Properties of GeH4(SiH4) Plasmas for Depo-sition of Device-Quality a-Ge:H(a-Si:H) Material, J. Non-Cryst. Solids 137 & 138,799 − 802 (1991)

[567] R. Plattner, E. Gunzel, G. Scheinbacher, B. Schroder: Light Stability of AmorphousGermanium, AIP Conference Proc. 234, ed. by B.L. Stafford, Denver, Colo., 1991,218 − 225

[568] M.J. Rand: Plasma-Promoted Deposition of Thin Inorganic Films, J. Vac. Sci. Tech-nol. 16(2), 420 − 427 (1979)

[569] R.W. Kirk: Applications of Plasma Technology to the Fabrication of SemiconductorDevices, Chapter 9 in Technologies and Applications of Plasma Chemistry, ed. by J.R.Hollahan and A.T. Bell, J. Wiley & Sons, New York, N.Y., U.S.A., 1974

[570] W.A.P. Claassen, W.G.J.N. Valkenburg, M.F.C. Willemsen, W.M.v.d. Wijgert: In-fluence of Deposition Temperature, Gas Pressure, Gas Phase Composition, and RF-Frequency on Composition and Mechanical Stress of Plasma Silicon Nitride Layers,J. Electrochem. Soc. 132(4), 893 − 898 (1985)

[571] Chr. Hollenstein, A.A. Howling, C. Courteille, J.-L. Dorier, L. Sansonnens, D. Magni,H. Muller: Dust Particle Diagnostics in RF Plasma Deposition of Silicon and SiliconOxide Films, Mater. Res. Soc. Sympos. Proc. 507, 547 − 557 (1998)

[572] S. Aisenberg, R. Chabot: Ion-Beam Deposition of Thin Films of Diamondlike Carbon,J. Appl. Phys. 42(7), 2953 − 2958 (1971)

[573] S. Aisenberg, R.W. Chabot: Physics of Ion Plating and Ion Beam Deposition,J. Vac. Sci. Technol. 10(1) 104 − 107 (1973)

[574] L. Holland, S.M. Ojha: Deposition of Hard and Insulating Carbonaceous Films on anR.F. Target in a Butane Plasma, Thin Solid Films 38, L17 − L19 (1976)

[575] B.V. Spitsyn, L.L. Buyilov, B.V. Derjaguin: Vapor Growth of Diamond on Diamondand other Surfaces, J. Cryst. Growth 52, 219 − 226 (1981)

[576] B.V. Derjaguin, L.L. Bouilev, B.V. Spitsyn: Crystallization and Certain Properties ofDiamon Films, Arch. Nauki Mater. 7(2), 111 − 119 (1986)

[577] K. Kobayashi, N. Mutsukura, Y. Machi: Deposition of Hard Carbon Films by RF GlowDischarge Method, J. Appl. Phys. 59(3) 910 − 912 (1986)

[578] P.K. Bachmann, D. Leers, D.U. Wiechert: Diamond Thin Films: Preparation, Charac-terization and Selected Applications — Progress Report, Ber. Bunsenges. Phys. Chem.95(11), 1390 − 1400 (1991)

Page 24: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

692 References

[579] S.Y. Shapoval, V.T. Petrashov, O.A. Popov, A.O. Westner, M.D. Yoder, jr., C.K.C.Lok: Cubic Boron Nitride Films Deposited by Electron Cyclotron Resonance Plasma,Appl. Phys. Lett. 57(18), 1885 − 1886 (1990)

[580] K.E. Spear: Diamond — Ceramic Coating of the Future, J. Am. Ceram. Soc. 72(2),171 − 191 (1989)

[581] M.W. Geis, J.C. Angus: Diamond Film Semiconductors, Scientific American 267(10),64 − 69 (1992)

[582] A. Dorotik: private communcication, Munich, 2002[583] D.G. Teer: Magnetron ion plating, UK patent # GB 2-258-343 B[584] S.K. Field, M. Jarratt, D.G. Teer: Tribological properties of graphite-like and diamond-

like carbon coatings, Tribol. Int. 37, 949 − 956 (2004)[585] U. Wiklund, M. Larsson: Low friction PVD titanium-carbon coatings, Wear 241, 234−

238 (2000)[586] D. Bollinger, S. Hida, O. Matsumoto: Reactive Ion Etching: Its Basis and Future I,

Solid State Technol. 27(5), 11 − 17 (1984)[587] D. Bollinger, S. Hida, O. Matsumoto: Reactive Ion Etching: Its Basis and Future II,

Solid State Technol. 27(6), 167 − 173 (1984)[588] J.W. Coburn, H.F. Winters: Plasma Etching—a Discussion of Mechanisms, J. Vac.

Sci. Technol. 16(2), 391 − 403 (1979)[589] C.M. Melliar-Smith, C.J. Mogab: Thin Film Processes, ed. by J.L. Vossen and W.

Kern, Academic Press, New York, N.Y., U.S.A., 1978, 497 − 556[590] J.A. Mucha, D.W. Hess: ibid, p. 217[591] J.A. Mucha, D.W. Hess: ibid, p. 251[592] D.L. Tolliver: Plasma Processing in Microelectronics — Past, Present, and Future,

Solid State Technol. 23(11), 99 − 105 (1980)[593] V.M. Donnelly, D.I. Flamm: Anisotropic Etching in Chlorine-Containing Plasmas,

Solid State Technol. 24(4), 161 − 166 (1981)[594] J.W. Coburn: Plasma-assisted Etching, Plasma Chem. Plasma Process. 2(1), 1 − 41

(1982)[595] V.M. Donnelly, D.E. Ibbotson, D.L. Flamm: Fundamental Aspects of Plasma-Surface

Interactions and the Etching Process, Chapter 8 in Ion Bombardement Modificationof Surfaces, ed. by O. Auciello and R. Kelly, Elsevier, Amsterdam/Oxford/New York,1984, p. 355

[596] C.B. Mullius, J.W. Coburn: Ion-beam assisted etching of Si with fluorine at low tem-peratures, J. Appl. Phys. 76(11), 7562 − 7566 (1994)

[597] V.M. Donnelly, D.I. Flamm, C.W. Tu, D.E. Ibbotson: Temperature Dependence of InPand GaAs Etching in a Chlorine Plasma, J. Electrochem. Soc. 129(11), 2533 − 2537(1982)

[598] S.C. McNevin: Chemical Etching of GaAs and InP by Chlorine: The Thermodynam-ically Predicted Dependence on Cl2 Pressure and Temperature, J. Vac. Sci. Technol.B 4(5), 1216 − 1226 (1986)

[599] J. Daleiden, K. Eisele, J.D. Ralston, G. Vollrath, F. Fiedler: Low-Temperature CAIBEProcesses for InP-Based Optoelectronics, Proc. 7th Int. Conf. on InP and Rel. Mater.,Sapporo, Japan, May 9 − 13, 1995 632 − 635

[600] E.L. Hu, R.E. Howard: Reactive Ion Etching in a Chlorine Plasma, J. Vac. Sci. Tech-nol. B 2(1), 85 − 88 (1984)

[601] J. Kaindl, S. Sotier, G. Franz: Dry Etching of III/V-Semiconductors: Fine Tuningof Pattern Transfer and Process Control, J. Electrochem. Soc. 142(7), 2418 − 2424(1995)

[602] G. Franz: Robust Reactive Ion Etching Processes for GaAs/AlGaAs/AlAs by Appli-cation of Statistical Concepts, J. Electrochem. Soc. 140(4), 1147 − 1151 (1993)

[603] L.I. Maissel, C.K. Standley, L.V. Gregor: Sputter-Etching of Heterogeneous Surfaces,IBM J. Res. Develop. 16(1), 67 − 70 (1972)

Page 25: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

References 693

[604] M.J. Kushner: Monte-Carlo Simulation of Electron Properties in RF Parallel PlateCapacitively Coupled Discharge, J. Appl. Phys. 54(9), 4958 − 4964 (1983)

[605] B.N. Chapman, V.J. Minkiewicz: Flow Rate Effects in Plasma Etching, J. Vac. Sci.Technol. 15(2), 329 − 332 (1978)

[606] R.A. Morgan: ibid, p. 33[607] C.J. Mogab: The Loading Effect in Plasma Etching, J. Electrochem. Soc. 124, 1262−

1268 (1977)[608] M. Nakamura, M. Itoga, Y. Ban: Investigation of Aluminum Plasma Etching by Some

Halogenized Gases, Proc. Electrochem. Soc. Spring Meeting, Abstr. No. 114, 298−300(1980)

[609] E.P.G.T.v.d. Ven, H. Kalter: Plasma Photoresist Stripping of MOS Devices, Paper 121presented at the Electrochem. Soc. Meeting, Washington, DC, May 02 − 07 (1976)

[610] J.L. Vossen: Inhibition of chemical sputtering of organics and C by trace amounts ofCu surface contamination, J. Appl. Phys. 47(2), 544 − 546 (1976)

[611] T.R. Hayes, M.A. Dreisbach, P.M. Thomas, W.C. Dautremont-Smith, L.A. Heim-brook: Reactive ion etching of InP using CH4/H2 mixtures: Mechanisms of etchingand anisotropy, J. Vac. Sci. Technol. B 7(5), 1130 − 1139 (1989)

[612] G.F. Froment, K.B. Bischoff: Chemical Reactor Analysis and Design, 2nd edn., J.Wiley & Sons, New York, N.Y., U.S.A., 1990, p. 303

[613] E.C. Stassinos, H.H. Lee: Transport Effects in Plasma Reactors for Etching Planarand Hexode Reactors, J. Electrochem. Soc. 137(1), 291 − 295 (1990)

[614] G.F. Froment, K.B. Bischoff: ibid, p. 446 ff.[615] D.J. Economou, R.C. Alkire: A Mathematical Model for a Parallel Plate Plasma Etch-

ing Reactor, J. Electrochem. Soc. 135(11), 2786 − 2794 (1988)[616] R.C. Alkire, D.J. Economou: Transient Behavior during Film Removal in Diffusion-

Controlled Plasma Etching, J. Electrochem. Soc. 132(3), 648 − 656 (1985)[617] L. Bigio: Laser Diagnostic Density Measurements of Hg(6 3 P0,1,2) in a Low Pressure

Hg-Ar-Discharge, J. Electrochem. Soc. 136, 829 − 834 (1989)[618] H.H. Lee: private communication, 1991[619] H.W. Choi, C.W. Jeon, M.D. Dawson: Tapered sidewall dry etching process for GaN

and its applications in device fabrication, J. Vac. Sci. Technol. B 23(1), 99 − 102(2005)

[620] P. Unger: private communication, Ulm, May 2007[621] C.M. Melliar-Smith: Ion Etching for Pattern Delineation, J. Vac. Sci. Technol. 13(5),

1008 − 1022 (1976)[622] H.I. Smith, J.Melngailis, R.C. Williamson, W.T. Brogan: Ion Beam Etching of Surface

Gratings, Proc. Ultrasonics Sympos. 1973, ed. by T. d. Klerk, IEEE, New York, N.Y.,U.S.A., p. 558 − 563

[623] U. Niggebrugge, M. Klug, G. Garus: A Novel Process for Reactive Ion Etching of InPUsing CH4/H2, Inst. Phys. Conf. Ser. 79, 367 − 372 (1986)

[624] G. Franz: Oberflachentechnologie mit Niederdruckplasmen, 2nd edn., Springer-Verlag,Berlin, 1994, p. 215

[625] H. Dimigen, H. Luthje, H. Hubsch, U. Convertini: Influence of mask material on ionetched structures, J. Vac. Sci. Technol. 13(4), 976 − 980 (1976)

[626] G. Franz, F. Rinner: Reactive ion etching of GaN and GaAs: Radially uniform pro-cesses for rectangular, smooth sidewalls, J. Vac. Sci. Technol. A 17(1), 56−61 (1999)

[627] P.G. Gløersen: Masking for Ion Beam Etching, Solid State Technol. 19(4), 68 − 73(1976)

[628] M. Sato, Y. Arita: Etched Shape Control of Single-Crystal Silicon in Reactive IonEtching Using Chlorine, J. Electrochem. Soc. 134(11), 2856 − 2862 (1987)

[629] G.S. Oehrlein, K.K. Chan, M.A. Jaso, G.W. Rubloff: Surface analysis of realisticsemiconductor microstructures, J. Vac. Sci. Technol. A 7(3), 1030 − 1034 (1989)

Page 26: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

694 References

[630] G.S. Oehrlein, J.F. Rembetski, E.H. Payne: Study of Sidewall Passivation and Mi-croscopic Silicon Roughness Phenomena in Chlorine-Based Reactive Ion Etching ofSilicon Trenches, J. Vac. Sci. Technol. B 8(6), 1199 − 1211 (1990)

[631] G. Franz, W. Hosler, R. Treichler: Sidewall passivation of GaAs in BCl3-containingatmospheres, J. Vac. Sci. Technol. B 19(2), 415 − 419 (2001)

[632] D.L.Flamm: Introduction to Plasma Physics, in Plasma Etching—an Introduction, ed.by D.M. Manos and D.L. Flamm, Academic Press, Inc., Boston/San Diego/New York,p. 151

[633] Ch. Hoyler, in Franz: Kalte Plasmen, Springer-Verlag, Berlin, 1990, p. 147[634] D. Bollinger, R. Fink: A New Production Technique: Ion Milling, Solid State Technol.

23(12), 97 − 103 (1980)[635] J.M. Lane, F.P. Klemens, K.H.A. Bogart, M.V. Malyshev, J.T.C. Lee: Feature evo-

lution during plasma etching. II. Polycrystalline silicon etching, J. Vac. Sci. Technol.A 18(1), 188 − 196 (2000)

[636] J.M. Lane, K.H.A. Bogart, F.P. Klemens, J.T.C. Lee: The role of feedgas chemistry,mask material, and processing parameters in profile evolution during plasma etchingof Si(100), J. Vac. Sci. Technol. A 18(5), 2067 − 2079 (2000)

[637] Robert E. Lee: Microfabrication by Ion-Beam Etching, J. Vac. Sci. Technol. 16(2),164 − 170 (1979)

[638] G. Franz: Oberflachentechnologie mit Niederdruckplasmen, 2nd edn., Springer-Verlag,Berlin, 1994, p. 216

[639] K.H.A. Bogart, F.P. Klemens, M.V. Malyshev, J.I. Colonell, V.M. Donnelly, J.T.C.Lee: Mask charging and profile evolution during chlorine plasma etching of silicon, J.Vac. Sci. Technol. A 18(1), 197 − 206 (2000)

[640] K.P. Giapis, G.R. Scheller, R.A. Gottscho, W.S. Hobson, Y.H. Lee: Microscopic andmacroscopic uniformity control in plasma etching, Appl. Phys. Lett. 57(10), 983−985(1990)

[641] R.H. Bruce, A.P. Reinberg: Profile Control with DC Bias in Plasma Etching, J. Elec-trochem. Soc. 129(2), 393 − 396 (1982)

[642] R.A. Gottscho, C.W. Jurgensen, D.J. Vitkavage: Microscopic uniformity in plasmaetching, J. Vac. Sci. Technol. B 10(5), 2133 − 2147 (1992)

[643] D.L. Olynick, J.A. Liddle, I.W. Rangelow: Profile evolution of Cr masked featuresundergoing HBr-inductively coupled plasma etching for use in 25 nm silicon imprinttemplates, J. Vac. Sci. Technol. B23(5), 2073 − 2077 (2005)

[644] D. Chin, S.H. Dhong, G.J. Long: Structural Effects on a Submicron Trench Process,J. Electrochem. Soc. 132(7), 1705 − 1707 (1985)

[645] J.W. Coburn, H.F. Winters: Conductance Considerations in the Reactive Ion Etchingof High Aspect Ratio Features, Appl. Phys. Lett. 55(26), 2730 − 2732 (1989)

[646] N. Fujiwara, H. Sawai, M. Yoneda, K. Nishioka, H. Abe: ECR Plasma Etching withHeavy Halogen Ions, Jpn. J. Appl. Phys. 29, 2223 − 2228 (1990)

[647] D. Keil, E. Anderson: Charakterization of Reactive Ion Etch Lag Scaling, J. Vac. Sci.Technol. B 19(6), 2082 − 2088 (2001)

[648] D.J. Economou, R.C. Alkire: Effect of Potential Field on Ion Deflection and ShapeEvolution of Trenches during Plasma-Assisted Etching, J. Electrochem Soc. 135(4),941 − 949 (1988)

[649] S.G. Ingram: The influence of substrate topography on ion bombardement in plasmaetching, J. Appl. Phys. 68(2), 500 − 504 (1990)

[650] J.P. Boeuf, E. Marode: A Monte Carlo Analysis of an Electron Swarm in a Non-Uniform Field: the Cathode Region of a Glow Discharge in Helium, J. Phys. D: Appl.Phys. 15, 2169 − 2187 (1982)

[651] J.C. Arnold, H.H. Sawin: Charging of pattern features during plasma etching, J. Appl.Phys. 70(10), 5314 − 5317 (1991)

[652] L. Schleicher, in Franz: Kalte Plasmen, Springer-Verlag, Berlin, 1990, p. 198

Page 27: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

References 695

[653] K. Nojiri, E. Iguchi, K. Kawamura, K. Kadota: Microwave Plasma Etching of SiliconDioxide for Half-Micron ULSIs, Extend. Abstr. 21st Conf. Sol. State Dev. Mater.,Tokio, 1989, 153 − 156

[654] G.W. Reynolds, J.W. Taylor, C.J. Brooks: Direct measurement of x-ray mask sidewallroughness and its contribution to the overall sidewall roughness of chemically amplifiedresist features, J. Vac. Sci. Technol. B 17, 3420 − 3425 (1999)

[655] G.M. Schmid, M.D. Stewart, V.K. Singh, C.G. Willson: Spatial distribution of reactionproducts in positive tone chemically amplified resists, J. Vac. Sci. Technol. B 20,185 − 190 (2002)

[656] Y. Ma, G. Tsvid, F. Cerrina: Line edge roughness of sub-100 nm dense and isolatedfeatures: Experimental study, J. Vac. Sci. Technol. B 21, 3124 − 3130 (2003)

[657] E. Gogolides, V. Constantoudis, G.P. Patsis, A. Tserepi: A review of line edge rough-ness and surface nanostructure resulting from patterning processes, Microelectron.Eng. 83, 1067 − 1072 (2006)

[658] L.D. Bollinger: Ion Beam Etching with Reactive Gases, Solid State Technol. 26(1),99 − 108 (1983)

[659] A. Baudrant, A. Passerat, D. Bollinger: Reactive Ion Beam Etching of Tantalum Sili-cide for VLSI Applications, Solid State Technol. 26(9), 183 − 187 (1983)

[660] I.W. Rangelow: Computer Simulation of Line Edge Profiles Undergoing Ion Bom-bardement, J. Vac. Sci. Technol. A 1(2), 410 − 414 (1983)

[661] W.J. Zubrzycki, G.A. Vawter, J.R. Wendt: High-aspect-ratio nanophotonic compo-nents fabricated by Cl2 reactive ion beam etching, J. Vac. Sci. Technol. B 17(6),2740 − 2744 (1999)

[662] M. Schier: private communication, Munich, 1993[663] G. Franz, Ch. Hoyler, D. Sacher: Wet Chemical Etching Behavior of Ga(Al)As and

In(Ga)P(As) Layers, Jpn. J. Appl. Phys. 30(11), 2693 − 2699 (1991)[664] Ch. Hoyler, H. Westermeier: Siemens laborarory report ZFE ST KM 3-4, 1993[665] H.P. Lee, A. Scherer, W.P. Hong, C.E. Zah, M. Orenstein, R. Bhat, E.D. Beebe, N.

Andreadakis, M.A. Koza: 1.5 μm InGaAsP/InP Vertically Coupled SemiconductorOptical Pre-Amplifier, Appl. Phys. Lett. 59(10), 1141 − 1143 (1991)

[666] S. Wakabayashi, K. Mutoh, M. Nakajima, Y. Toyoda: InGaAsP/InP Horizontal Cav-ity Surface-Emitting Lasers Radiating in two Opposite Directions, Appl. Phys. Lett61(13), 1499 − 1501 (1992)

[667] R.S. Robinson: Thirty-Centimeter-Diameter Ion Milling Source, J. Vac. Sci. Technol.15(2), 277 − 280 (1978)

[668] K. Arimatsu, I. Hashimoto, S. Ooishi, S. Tanaka, T. Sato, T. Gejyo: Developmentof Large Scale Ion Beam Milling Machines, Nucl. Instrum. Meth. Phys. B 37/38,833 − 837 (1989)

[669] M.W. Geis, J.A. Lincoln, N. Efremow, W.J. Piacentini: A Novel Anisotropic DryEtching Technique, J. Vac. Sci. Technol. 19(4), 1390 − 1393 (1981)

[670] G.A. Lincoln, M.W. Geis, L.J. Mahoney, A. Chu, B.A. Vojak, K.B. Nichols, W.J.Piacentini, N. Efremow, W.T. Lindley: Ion Beam Assisted Etching for GaAs DeviceApplications, J. Vac. Sci. Technol. 20(3), 786 − 789 (1982)

[671] P. Unger, in R. Diehl: High-Power Diode Lasers, Topics Appl. Phys. 78, 1 − 53,Springer-Verlag, Berlin/Heidelberg 2000

[672] E. Deichsel, F. Eberhard, R. Jager, P. Unger: High-Power Laser Diodes with Dry-Etched Mirror Facets and Integrated Monitor Photodiodes, IEEE J. Sel. Topics Quan-tum Electron. 7(3), 106 − 110 (2001)

[673] E. Deichsel, R. Jager, P. Unger: High-Brightness Unstable-Resonator Lasers Fabricatedwith Improved Dry-Etching Technology for Ultra-Smooth Laser Facets, Jpn. J. Appl.Phys. 41(6B), 4279 − 4282 (2002)

Page 28: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

696 References

[674] G.L. Bona, P. Buchmann, R. Clauberg, H. Jaeckel, P. Vettiger, O. Voegeli, D.J. Webb:Beam Properties of AlGaAs Power Lasers with High-Quality Etched Mirrors, IEEEPhoton. Technol. Lett. 3(5), 412 − 414 (1991)

[675] E. Deichsel, G. Franz: Fabrication of dry etched and subsequently passivated laserfacets in GaAs/AlGaAs, J. Vac. Sci. Technol. A 22(5), 2201 − 2205 (2004)

[676] G. Franz: Hydrogen in Dry Etching Processes, phys. stat. sol. (a) 159(1), 137 − 147(1997)

[677] M.D. McCluskey, N.M. Johnson: Hydrogen in compound semiconductors, J. Vac. Sci.Technol. A 17(4), 2188 − 2193 (1999)

[678] S.J. Pearton, U.K. Chakrabarti, W.S. Hobson, C. Constantine, D. Johnson: Low Dam-age Dry Etching of III-V Compound Semiconductors Using Electron Cyclotron Reso-nance Discharges, Nucl. Instrum. Meth. Phys. Res. B 59/60, 1015 − 1018 (1991)

[679] R.J. Shul, M.L. Lovejoy, D.L. Hetherington, D.J. Rieger, G.A. Vawter, J.F. Klem,M.R. Melloch: Investigation of plasma etch induced damage in compound semicon-ductor devices, J. Vac. Sci. Technol. A 12(4), 1351 − 1355 (1994)

[680] R.J. Shul, L. Zhang, G. Baca, C.G. Willison, J. Han, S.J. Pearton, F. Ren: Inductivelycoupled plasma-induced etch damage of GaN p-n-junctions, J. Vac. Sci. Technol. A18(4), 1139 − 1143 (2000)

[681] J. Etrillard, J.F. Bresse, C. Daguet, M. Riet, J. Mba: Low damage dry etching ofIII/V materials for heterojunction bipolar transistor applications using a chlorinatedinductively coupled plasma, J. Vac. Sci. Technol. A 17(4), 1174 − 1181 (1999)

[682] C.T. Gabriel, J.P. McVittie: How Plasma Etching Damages Thin Gate Oxides, SolidState Technol. 35(6), 81 − 87 (1992)

[683] C.T. Gabriel: Gate oxide damage: testing approaches and methodologies, J. Vac. Sci.Technol. A 17(4), 1494 − 1500 (1999)

[684] G. Franz: Damage in III/V semiconductors caused by hard- and soft-etching plasmas,J. Vac. Sci. Technol. A 19(3), 762 − 772 (2001)

[685] S.K. Gandhi, P. Kwan, K.N. Bhat, D.M. Borrego: Ion Beam Damage Effects Duringthe Low Energy Cleaning of GaAs, IEEE Electron. Dev. Lett. 3(2), 48 − 50 (1982)

[686] S.M. Sze: Physics of Semiconductor Devices, 2nd edn., (Wiley, New York, N.Y.,U.S.A., 1981), p. 403

[687] S.W. Pang, W.D. Goodhue, T.M. Lyszczarz, D.J. Ehrlich, R.B. Goodman, G.D. John-son: Dry etching induced damage on vertical sidewalls of GaAs channels, J. Vac. Sci.Technol. B 6(6), 1916 − 1920 (1988)

[688] S.W. Pang, M.W. Geis, N.N. Efremow, G.A. Lincoln: Effects of ion species and ad-sorbed gas on dry etching induced damage in GaAs, J. Vac. Sci. Technol. B 3(1),398 − 401 (1985)

[689] A. Katz: Indium Phosphide and Related Materials: Processing, Technology, and De-vices, Artech House, Boston/London, 1991, p. 263

[690] A. Katz: ibid, p. 257[691] J. Neugebauer, C.G.v.d. Walle: Role of Hydrogen in Doping of GaN, Appl. Phys. Lett.

68(12), 1829 − 1831 (1996)[692] B. Pajot, C. Song: OH-Bonds in Gallium Arsenide Grown by Liquid-Encapsulated

Czochralski Crystal-Growth Method, Phys. Rev. B 45(12), 6484 − 6491 (1992)[693] T.R. Hayes, W.C. Dautremont-Smith, H.S. Luftman, J.W. Lee: Passivation of Accep-

tors in InP Resulting from CH4/H2 Reactive Ion Etching, Appl. Phys. Lett. 55(1),56 − 58 (1989)

[694] M. Moehrle: Hydrogen Passivation of Zn Acceptors in InGaAs During Reactive IonEtching, Appl. Phys. Lett. 56(6), 542 − 544 (1990)

[695] C. Constantine, D. Johnson, S.J. Pearton, U.K. Chakrabarti, A.B. Emerson, W.S.Hobson, A.P. Kinsella: Plasma etching of III-V-semiconductors in CH4/H2/Ar elec-tron cyclotron resonance discharges, J. Vac. Sci. Technol. B 8, 596 − 606 (1990)

Page 29: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

References 697

[696] K. Ukai, K. Hanazawa: End-Point Determination of Aluminum Reactive Ion Etchingby Discharge Impedance Monitoring, J. Vac. Sci. Technol. 16, 385 − 387 (1979)

[697] A.R. Heyd, R.W. Collins, K. Vedam, S.S. Bose, D.L. Miller: Monitoring Ion Etchingof GaAs/AlGaAs Heterostructures by Real Time Spectroscopic Ellipsometry: Deter-mination of Layer Thickness, Compositions, and Surface Temperature, Appl. Phys.Lett. 60(22), 2776 − 2778 (1992)

[698] G. Franz: Verbesserung des Endpunktdetektors fur Optische Emissionsspektrosko-pie (OES), German Patent Disclosure GR 97E1812 DE v. 13-08-1997

[699] G. Herzberg: Spectra of Diatomic Molecules, D. v. Nostrand Inc., Princeton, N.J.,U.S.A., 1950

[700] W.R. Harshberger, R.A. Porter, T.A. Miller, P. Norton: A Study of the Optical Emis-sion from an RF Plasma during Semiconductor Etching, Appl. Spectrosc. 31(3),201 − 207 (1977)

[701] G. Franz, C. Hoyler, J. Kaindl: Reactive Ion Etching GaAs and AlAs: Kinetics andProcess Monitoring, J. Vac. Sci. Technol. B 14(1), 126 − 131 (1996)

[702] P.H. Berning, in Physics of Thin Films: Advances in Research and Development, Vol.I, ed. by G. Hass, Academic Press, New York, 1963, 69 − 120

[703] W. John, L. Weixelbaum, H. Wittrich, G. Frankowski, J. Wurfl: CCD-Controlled in-situ Interfermotery for Novel Process Monitoring During Dry Etching, Solid StateTechnol. 44(6), 117 − 122 (2001)

[704] J. Tardy, J.M. Poiterin, G. Lemperiere: Glow Discharge Mass Spectrometry of SiliconDC Sputtering in Argon-Hydrogen, J. Phys. D: Appl. Phys. 14, 339 − 346 (1981)

[705] F. Shinoki, A. Itoh: Mass Spectrometric Analysis in RF Reactive Sputtering Discharge,Jpn. J. Appl. Phys. Suppl. 2, Pt. 1, 505 − 508 (1974)

[706] B.F.T. Bolker, T.C. Tisone, T.S. Latos: Control system dynamics using glow dischargemass spectroscopy for thin film sputtering, J. Vac. Sci. Technol. 18(2), 328−334 (1981)

[707] G. Janiak, U. Niggebrugge: private communication, Berlin, 1997[708] S.C. McNevin: Rare gas ion-enhanced etching of InP by Cl2, J. Vac. Sci. Technol. B

4(5), 1203 − 1215 (1986)[709] T.J. Chuang: Electron Spectroscopy Study of Silicon Surfaces Exposed to XeF2 and

the Chemisorption of SiF4 on Silicon, J. Appl. Phys. 51(5), 2614 − 2619 (1980)[710] J.W. Coburn, H.F. Winters: Ion and Electron Assisted Gas-Surface Chemistry—an

Important Effect in Plasma Etching, J. Appl. Phys. 50, 3189 − 3196 (1979)[711] H.F. Winters, J.W. Coburn: Plasma-assisted etching mechanisms: the implications of

reaction probability and halogen coverage, J. Vac. Sci. Technol. B 3(5), 1376 − 1383(1985)

[712] T.M. Mayer, R.A. Barker, L.J. Whitman: Investigation of plasma etching mechanismsusing beams of reactive gas ions, J. Vac. Sci. Technol. 18(2), 349 − 352 (1981)

[713] A.F. Ward; Proc. Roy. Soc. London A 133, 506 (1931), cited in G.M. Barrow:Physikalische Chemie, Bohmann-Verlag, Heidelberg, Wien, part 3, 1972, p. 328

[714] T.M. Mayer, R.A. Barker: Simulation of plasma-assisted etching processes by ion-beamtechniques, J. Vac. Sci. Technol. 21(3), 757 − 763 (1982)

[715] T.M. Mayer, R.A. Barker: Reactive Ion Beam Etching with CF4: Characterization ofa Kaufman Ion Source and Details of SiO2 Etching, J. Electrochem. Soc. 129(3),585 − 591 (1982)

[716] D.C. Gray, I. Tepermeister, H.H. Sawin: Phenomenological modeling of ion-enhancedsurface kinetics in fluorine-based plasma etching, J. Vac. Sci. Technol. B 11(4), 1243−1257 (1993)

[717] R.J. Belen, S. Gomez, D. Cooperberg, M. Kiehlbauch, E.S. Aydil: Feature-scale modelof Si etching in SF6/O2 plasma and comparison with experiments, J. Vac. Sci. Technol.A 23(5), 1430 − 1439 (2005)

[718] Y.H. Lee, M.M. Chen: Silicon Etching Mechanism and Anisotropy in CF4+O2 Plasma,J. Appl. Phys. 54(10), 5966 − 5973 (1983)

Page 30: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

698 References

[719] G. Franz: Reactive Ion Etching of III/V Semiconductors Using Carbon-ContainingGases—A Comprehensive Statistical Approach, J. Electrochem. Soc. 137(9), 2896 −2903 (1990)

[720] R.A.H. Heinecke: Plasma Etching of Films at High Rates, Solid State Technol. 21(4),104 − 106 (1978)

[721] G.C. Schwartz, L.B. Rothman, T.J. Schopen: Competitive Mechanisms in ReactiveIon Etching in a CF4 Plasma, J. Electrochem. Soc. 126(3), 464 − 469 (1979)

[722] D.L. Flamm: Measurements and Mechanisms of Etchant Production During thePlasma Oxidation of CF4 and C2F6, Solid State Technol. 22(4), 109 − 116 (1979)

[723] T.D. Bestwick, G.S. Oehrlein: Reactive ion etching of silicon using bromine containingplasmas, J. Vac. Sci. Technol. A 8(3), 1696 − 1701 (1990)

[724] C.J. Mogab, A.C. Adams, D.L. Flamm: Plasma Etching of Si and SiO2—The Effectof Oxygen Additions to CF4 Plasmas, J. Appl. Phys. 49(7), 3796 − 3803 (1978)

[725] D.L. Flamm, V.M. Donnelly, D.E. Ibbotson: Basic chemistry and mechanisms ofplasma etching, J. Vac. Sci. Technol. B 1(1), 23 − 30 (1983)

[726] U. Hetzler, E. Kay: Conduction Mechanisms in Plasma-Polymerized Tetrafluoro-ethylene Films, J. Appl. Phys. 49(11), 5617 − 5623 (1978)

[727] M. Millard: Synthesis of Organic Polymer Films in Plasmas, Chapter 5 in Techniquesand Applications of Plasma Chemistry, ed. by J.R. Hollahan and A.T. Bell, J. Wiley& Sons, New York, N.Y., U.S.A., 1974

[728] J.W. Coburn, E. Kay: Some Chemical Aspects of the Fluorocarbon Plasma Etching ofSilicon and Its Compounds, IBM J. Res. Develop. 23(1), 33 − 41 (1979)

[729] L. Peccoud, P. Laporte, J. Arroyo, B. Charlet: New Trends and Limits in PlasmaEtching, J. Phys. D: Appl. Phys. 20, 851 − 857 (1987)

[730] J. Coburn, private communication, Berkeley, Calif., 1995[731] J.J. Vegh, D. Humbird, D.B. Graves: Silicon etch by fluorocarbons and argon plasmas

in the presence of fluorocarbon films, J. Vac. Sci. Technol. A 23(6), 1598−1604 (2005)[732] K. Tsujimoto, S. Tachi, K. Ninomiya, K. Suzuki, S. Okudaira, S. Nishimatsu: A

New Side Wall Protection Technique in Microwave Plasma Etching Using a Chop-ping Method, Proc. 18th Int. Conf. Solid State Devices A. Materials, Tokyo, 1986,Extended Abstracts pp. 229 − 232 (1986)

[733] I.W. Rangelow: High Resolution Tri-Level Process by Downstream-Microwave RF-Biased Etching, SPIE Proc. 1392, 180 − 184 (1990)

[734] J.A. O’Neill, J. Singh, G.G. Grifford: In-situ infrared diagnostics of particle formingetch plasmas, J. Vac. Sci. Technol. A 8(3), 1716 − 1721 (1990)

[735] C.J. Mogab, H.J. Levinstein: Anisotropic plasma etching of polysilicon, J. Vac. Sci.Technol. 17(3), 721 − 730 (1980)

[736] S. Samukawa: Degree of Cl2 dissociation and etching characteristics in high-densityplasmas, J. Vac. Sci. Technol. A 17(3), 774 − 779 (1999)

[737] Gmelins Handbuch der Anorganischen Chemie, 8th edn., Verlag Chemie, Berlin, 1936,Gallium, System-Nr. 36, p. 76; Indium, System-Nr. 37, pp. 71 − 80

[738] Handbook of Chemistry and Physics, 56th edn., ed. by R.C. Weast, The ChemicalRubber Company, Cleveland, OH, pp. B-91, B-95, B-96 (1975)

[739] V.M. Donnelly, D.L. Flamm, G.J. Collins: Studies of Plasma Etching of III/V Semi-conductors Using in-situ Optical Diagnostic Techniques, Electrochem. Soc. Fall Meet-ing, Denver, Colo., U.S.A., Extended Abstracts 812, 621 − 624 (1981)

[740] D.L. Flamm, G.K. Herb: Plasma Etching Technology—an Overview, in PlasmaEtching—an Introduction, ed. by D.M. Manos and D.L. Flamm, Academic Press,Inc. Boston/San Diego/New York, p. 41

[741] V.M. Donnelly, D.I. Flamm, D.E. Ibbotson: Plasma etching of III/V semiconductors,J. Vac. Sci. Technol. A 1(2), 626 − 628 (1983)

Page 31: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

References 699

[742] K. Takimoto, K. Ohnaka, J. Shibata: Reactive ion etching of InP with Br2-containinggases to produce smooth, vertical walls: Fabrication of etched-faceted lasers, Appl.Phys. Lett. 54(20), 1947 − 1949 (1989)

[743] R.H. Burton, C.L. Hollien, L. Marchant, S.M. Abys, G. Smolinsky, R.A. Gottscho:Etching of Gallium Arsenide and Indium Phosphide in RF Discharges through Mix-tures of Trichlorofluoromethane and Oxygen, J. Electrochem. Soc. 129(7), 1599−1604(1983)

[744] H.H. Sawin: A Review of Plasma Processing Fundamentals, Solid State Technol. 28(4),211 − 216 (1985)

[745] Handbook of Chemistry and Physics, 51st edn., ed. by R.C. Weast, The ChemicalRubber Company, Cleveland, OH, p. F-177 (1971)

[746] R.H. Burton, G. Smolinsky: CCl4 and Cl2 Plasma Etching of III/V Semiconductorsand the Role of Added O2, J. Electrochem. Soc. 129(7), 1599 − 1604 (1982)

[747] A. Popp, J.J Finley, private communication, Garching, 2006[748] G. Scarpa, N. Ulbrich, A. Sigl, M. Bichler, D. Schuh, M.-C. Amann, G. Abstreiter: Im-

proved Large Optical Cavity Design for 10.6 μm (Al)GaAs Quantum Cascade Lasers,Physica E 13, 844 − 847 (2002)

[749] F. A. Cotton, G. Wilkinson: Anorganische Chemie, 3rd edn., Verlag Chemie, Wein-heim/Bergstraße, 1974, p. 239 f.

[750] K. Tokunaga, F.C. Redeker, D.A. Danner, D.W. Hess: Comparison of Aluminum EtchRates in Carbon Tetrachloride and Boron Trichloride Plasmas, J. Electrochem. Soc.128(4), 851 − 855 (1981)

[751] E.L. Hu, R.E. Howard: Reactive Ion Etching of GaAs and InP Using CCl2F2/Ar/O2,Appl. Phys. Lett. 37(11), 1022 − 1024 (1980)

[752] K.L. Seaward, N.J. Moll, D.J. Coulman: An Analytical Study of Etch and Etch-StopReactions for GaAs on AlGaAs in CCl2F2 Plasma, J. Appl. Phys. 61(6), 2358−2364(1987)

[753] S.J. Pearton, U.K. Chakrabarti, W.S. Hobson, A.P. Perley: Cl2 and SiCl4 Reactive IonEtching of In-Based III-V Semiconductors, J. Electrochem. Soc. 137(10), 3188−3202(1990)

[754] J.W. Lee, B. Pathangey, M.R. Davidson, P.H. Holloway, E.S. Lambers, B. Davydov,T.J. Anderson, S.J. Pearton: Comparison of plasma chemistries for dry etching thinfilm electroluminescent display materials, J. Vac. Sci. Technol. A 16(4), 2177 − 2186(1998)

[755] R. Cheung, B. Rong, E.v.d. Drift, W.G. Sloof: Etch mechanism and etch-inducedeffects in the inductively coupled plasma etching of GaN, J. Vac. Sci. Technol. B21(4), 1268 − 1272 (2003)

[756] R.J. Shul, G.B. McClellan, S.A. Casalnuovo, D.J. Rieger, S.J. Pearton, C. Constantine,C. Barrat, R.F. Karlicek, jr., C. Tran, M. Schurman: Inductively coupled plasma etch-ing of GaN, Appl. Phys. Lett. 69(8), 1119 − 1121 (1996)

[757] F.A. Khan, L. Zhou, A.T. Ping, I. Adesida: Inductively coupled plasma reactive ionetching of AlxGa1−xN for application in laser facet formation, J. Vac. Sci. Technol.B 17(6), 2750 − 2754 (1999)

[758] H.S. Kim, G.Y. Yeom, J.W. Lee, T.I. Kim: Characteristics of inductively coupledCl2/BCl3 plasmas during GaN etching, J. Vac. Sci. Technol. A 17(4), 2214 − 2219(1999)

[759] H. Schmid: Plasma Mass Spectrometric Analysis and Control of Reactive Ion Etchingof InP and Related Compounds, IPAT Proc. 6, 98 − 102 (1987)

[760] R. v. Roijen, C.W.T. Bulle-Lieuwma, E.A. Montie: Formation and Damage of Side-walls after Cl2/CH4 Based Reactive Ion Beam of InP, J. Vac. Sci. Technol. B 10(5),2188 − 2191 (1992)

[761] G. Lefranc, in Franz: Kalte Plasmen, Springer-Verlag, Berlin, 1990, p. 174

Page 32: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

700 References

[762] M.W. Kielbauch, D.B. Graves: Effect of neutral transport on etch product lifecycle, J.Vac. Sci. Technol. 21(1), 116 − 125 (2003)

[763] M.W. Kielbauch, D.B. Graves: Inductively coupled plasmas in oxygen: Modeling andexperiment, J. Vac. Sci. Technol. 21(3), 660 − 670 (2003)

[764] A. Stamm: private communication, Munich, 1996[765] V.M. Donnelly, D.L. Flamm, W.C. Dautremont-Smith, D.J. Werder: Anisotropic

Etching of SiO2 in Low-Frequency CF4/O2 and NF3/Ar Plasmas, J. Appl. Phys.55(1), 242 − 252 (1984)

[766] A. Fichelscher, I.W. Rangelow, A. Stamm: Influence of Sheath Properties on the Pro-file Evolution in RIE Processes, SPIE 1392, Advanced Technologies for IntegratedCircuit Processing, 77 − 83 (1990)

[767] J.I. Ulacia F., J.P. McVittie: A Two-Dimensional Computer Simulation for Dry Etch-ing Using Monte Carlo Techniques, J. Appl. Phys. 65(4), 1484 − 1491 (1989)

[768] E.S.G. Shaqfeh, C.W. Jurgensen: Simulation of Reactive Ion Etching Pattern Trans-fer, J. Appl. Phys. 66(10), 4664 − 4675 (1989)

[769] A. Fichelscher, I.W. Rangelow, R. Kassing: Simulation of Reactive Ion-Etching Pro-cesses Considering Sheath Dynamics, Mater. Sci. Eng. A 139, 412 − 417 (1991)

[770] I.W. Rangelow, P. Hudek, F. Shi: Bulk Micromachining of Si by Lithography andReactive Ion Etching, Vacuum 46, 1361 − 1369 (1995)

[771] I.W. Rangelow: Simulation of Plasma Etching and Deposition Processes for theMicrosystem-Technology, 3rd Mideuropean Symposium and Exhibition on Semicon-ductor Engineering and Technology, SET‘92, Oct 12 − 14, 1992, Warschau, 75 − 78

[772] B.E. Volland, H. Heerlein, I. Kostic, I.W. Rangelow: The Application of SecondaryEffects in High Aspect Ratio Dry Etching for the Fabrication of MEMS, Microelectron.Eng. 57-58, 641 − 650 (2001)

[773] N. Anscombe: MEMS Are on the Move in Europe, Photon. Spectra (12), 66 − 76(2003)

[774] I.W. Rangelow: Simulation von Trockenatz- und Zerstaubungsdepositionsprozessen furdie Mikrosystem-Technologie, VDI-Berichte, Nr. 960, Kongreßbericht Geratetechnikund Mikrosystemtechnik, Band 2, Kongreß Chemnitz, Mar 16− 18 1992, VDI Verlag,749 − 754

[775] I.W. Rangelow: Entwicklung von Plasma-Atzprozessen fur die Herstellung vonSilicium-Sensormembranen, Forschungsvorhaben 750/73037684, Univ. Kassel, Jan1987

[776] J. Daleiden, N. Citica, M. Strassner: Tunable InP-Based Microcavity Devices for Op-tical Communication Systems, Sensors Mater. 14(1), 35 − 45 (2002)

[777] R.C. Jernigan: Road Map for European Photonics Available, Photon. Spectra 34(3),34 − 35 (2008)

[778] A.D. Tserepi, M.-E. Vlachopoulou, E. Gogolides: Nanotexturing ofpoly(dimethylsiloxane) in plasmas for creating robust super-hydrophobic surfaces,Nanotechnology 17, 3977 − 3983 (2006)

[779] S. Ihrle, K. Schroder, A. Ohl: Stabilitat plasmagestutzt erzeugter hydrophiler Gren-zschichten, Proceedings 10. Neues Dresdner Vakuumtechnisches Kolloqium, Dresden,Oct 17 − 18 2002, 68 − 72 (2002)

[780] S. Gunther: Plasmaaktivierte Verdampfung von Aluminium, Proceedings 10. NeuesDresdner Vakuumtechnisches Kolloqium, Dresden, Oct 17−18 2002, 124−128 (2002)

[781] W.P. Allis: Motions of Ions and Electrons, in Handbuch der Physik, ed. by S. Flugge,Band 21, Teil 1, Gasentladungen 1, Springer-Verlag, Berlin, 1956, p. 404 − 444

[782] C.M. Ferreira, J. Loureiro: Electron energy distributions and excitation rates in high-frequency argon discharges, J. Phys. D: Appl. Phys. 16 2471 − 2483 (1983)

[783] J.E. Heidenreich III, J.R. Paraszczak, M. Moisan, G. Sauve: Electron Energy Dis-tributions in Oxygen Microwave Plasmas, J. Vac. Sci. Technol. B 6(1), 288 − 292(1988)

Page 33: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

References 701

[784] B.E. Cherrington: Gaseous Electronics and Gas Lasers, Pergamon, New York, 1979,p. 68

[785] C.M. Ferreira, J. Loureiro: Characteristics of high-frequency and direct-current argondischarges at low pressures: a comparative analysis, J. Phys. D: Appl. Phys. 17, 1175−1188 (1984)

[786] S.D. Rockwood: Elastic and Inelastic Cross Sections for Electron-Hg Scattering fromHg Transport Data, Phys. Rev. A 8(5), 2348 − 2358 (1973)

[787] R.J. Seebock, W.E. Kohler: Temporal Intensity Modulation of Spectral Lines in a LowFrequency Discharge in Argon, J. Appl. Phys. 64(8), 3855 − 3862 (1988)

[788] D. Bohm: Minimum Ion Kinetic Energy for a Stable Sheath, in The Characteristicsof Electrical Discharges in Magnetic Fields, ed. by A. Guthrie and R.K. Wakerling,McGraw Hill, New York, NY, 1949, pp. 77 − 86

[789] F.F. Chen: Introduction to Plasma Physics, Plenum Press, New York and London,2nd edn., 1976, p. 249 ff.

[790] D. Bohm, E.H.S. Burhop, H.S.W. Massey: The Use of Probes for Plasma Explorationin Strong Magnetic Fields, in The Characteristics of Electrical Discharges in MagneticFields, ed. by A. Guthrie and R.K. Wakerling, McGraw Hill, New York, N.Y., U.S.A.,1949, 13 − 77

[791] D. Bohm, E.P. Gross: Theory of Plasma Oscillations. A. Origin of Medium-Like Be-havior, Phys. Rev. 75(12), 1851 − 1864 (1949)

[792] D. Bohm, E.P. Gross: Effects of Plasma Bounderies in Plasma Oscillations, Phys.Rev. 79(6), 992 − 1001 (1950)

[793] D. Bohm, E.P. Gross: Theory of Plasma Oscillations. B. Excitations and Damping ofOscillations, Phys. Rev. 75(12), 1864 − 1876 (1949)

[794] P.J. Barrett, H.G. Jones, R.N. Franklin: Dispersion of Electron Plasma Waves, PlasmaPhys. 10, 911 − 918 (1968)

[795] S.A. Cohen: An Introduction to Plasma Physics for Materials Processing, in PlasmaEtching—an Introduction, ed. by D.M. Manos and D.L. Flamm, Academic Press, Inc.,Boston/San Diego/New York, p. 215

[796] D. Pines: Elementary Excitations in Solids, W.A. Benjamin, New York/Amsterdam,1964, p. 112 f.

[797] D. Pines: ibid, p. 147 f.[798] M.J. Ziman: ibid, S. 154 ff.[799] F.F. Chen: Introduction to Plasma Physics, Plenum Press, New York and London,

2nd edn., 1976, Chapter 7[800] J.D. Jackson: ibid, p. 340[801] J.D. Jackson: ibid, p. 341[802] F.F. Chen: Introduction to Plasma Physics, Plenum Press, New York and London,

2nd edn., 1976, p. 239[803] B. Chapman: Glow Discharge Processes: Sputtering and Plasma Etching, John Wiley

& Sons, New York, NY, 1980, p. 130 f.[804] C.A. Bletzinger, C.A. deJoseph, jr.: Structure of RF Parallel-Plate Discharges, IEEE

Trans. Plasma Sci. PS-14(2), 124 − 131 (1986)[805] A. Fridman, L.A. Kennedy: Plasma Physics and Engineering, Taylor & Francis, New

York and London, 2004, Sect. 10.7, Eqs. (10.135) − (10.154), p. 187[806] V.A. Godyak: Soviet Radio Frequency Discharge Research, Delphic Ass., Inc., Falls

Church, Va., U.S.A., 1986, p. 103 ff.[807] P.M. Meijer, W.J. Goodheer: Calculation of the Auto-Bias Voltage for RF Frequencies

Well Above the Ion-Plasma Frequency, IEEE Trans. Plasma Sci. PS-19(2), 170−175(1991)

[808] W.J. Goedheer, P.M. Meijer: Kinetic Modeling of Positive Ions in a Low-Pressure RFDischarge, IEEE Transact. Plasma Sci. PS-19(2), 245 − 249 (1991)

[809] M. Lieberman: private communication, 1991

Page 34: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

702 References

[810] V.A. Godyak: Soviet Radio Frequency Discharge Research, Delphic Ass., Inc., FallsChurch, Va., U.S.A., 1986, p. 112

[811] V.A. Godyak, A.S. Khanneh: Ion Bombardement Secondary Electron Maintenance ofSteady RF Discharge, IEEE Trans. Plasma Sci. PS-14(2), 112 − 123 (1986)

[812] M.A. Lieberman, S.E. Savas: Bias Voltages in Finite Length, Cylindrical and CoaxialRadio-Frequency Discharges, J. Vac. Sci. Technol. A 8(3), 1632 − 1641 (1990)

[813] H.S. Butler, G.S. Kino: Plasma Sheath Formation by Radio-Frequency Fields, Phys.Fluids 6(9), 1346 − 1355 (1963)

[814] W.P. Allis: Motions of Ions and Electrons, in Handbuch der Physik, edited by S.Flugge, vol. 21, part I, Gasentladungen I, Springer-Verlag, Berlin, 1956, p. 388

[815] W.P. Allis: Motions of Ions and Electrons, in Handbuch der Physik, edited by S.Flugge, vol. 21, part 1, Gasentladungen 1, Springer-Verlag, Berlin, 1956, p. 394

[816] R.P. Feynman, R.B. Leighton, M. Sands: The Feynman Lectures on Physics II, 7thprinting, Addison-Wesley Publishing Company, Menlo Park, London, Sydney, Manila,1972, p. 32-8

[817] S.A. Cohen: ibid, p. 215[818] S.C. Brown: Introduction to Electrical Discharges in Gases, Wiley, New York, N.Y.,

U.S.A., 1966, p. 247[819] W.P. Allis, S.C. Brown, E. Everhart: Electron Density Distribution in a High Fre-

quency Discharge in the Presence of Plasma Resonance, Phys. Rev. 84(3), 519− 522(1951)

[820] W.Z. Collison, T.Q. Ni, M.S. Barnes: Studies of the low-pressure inductively-coupledplasma etching for a larger area wafer using plasma modeling and Langmuir probe, J.Vac. Sci. Technol. 16(1), 100 − 107 (1998)

[821] M.A. Heald, C.B. Wharton: ibid, p. 30[822] M. Born: ibid, p. 260[823] W.P. Allis, S.J. Buchsbaum, A. Bers: Waves in Anisotropic Plasmas, M.I.T. Press,

Cambridge, Mass., U.S.A., 1963, p. 24[824] W.P. Allis, S.J. Buchsbaum, A. Bers: Waves in Anisotropic Plasmas, M.I.T. Press,

Cambridge, Mass., U.S.A., 1963, p. 13[825] W.P. Allis, S.J. Buchsbaum, A. Bers: Waves in Anisotropic Plasmas, M.I.T. Press,

Cambridge, Mass., U.S.A., 1963, p. 41[826] R. Boswell: Dependence of Helicon Wave Radial Structure on Electron Inertia, Austr.

J. Phys. 25, 403 − 407 (1972)[827] R. Boswell: Effect of Boundary Conditions on Radial Mode Structure of Whistlers, J.

Plasma Phys. 31(2), 197 − 208 (1984)[828] F.F. Chen: RF production of high density plasmas for accelerators, Laser Particle

Beams 7(3), 551 − 559 (1989)[829] F.F. Chen: Plasma Ionization by Helicon Waves, Plasma Phys. Contr. Fusion 33(4),

339 − 364 (1991)[830] R.W. Boswell, F.F. Chen: Helicons — The Early Years, IEEE Trans. Plasma Sci.

PS-25, 1229 (1997)[831] F.F. Chen, R.W. Boswell: Helicons — The Past Decade, IEEE Trans. Plasma Sci.

PS-25, 1245 (1997)[832] R. Kippenhahn, C. Mollenhoff: Elementare Plasmaphysik, Bibliographisches Institut,

Mannheim/Zurich, 1975, p. 102 ff.[833] A.W. Trivelpiece, R.W. Gould: Space Charge Waves in Cylindrical Plasma Columns,

J. Appl. Phys. 30(3), 1784 − 1793 (1959)[834] M.J. Ziman: ibid, p. 282 f.[835] F.F. Chen, D. Arnush: Generalized theory of helicon waves. I. Normal modes, Phys.

Plasmas 4(9), 3411 − 3421 (1997)

Page 35: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

References 703

[836] B. Suhl, L.R. Walker: Topics in Guided-Wave Propagation through Gyromagnetic Me-dia — Part I: The Completely Filled Guide, Bell System Techn. J. 33(5), 579 − 659(1954), p. 658 f.

[837] A.A.T.M. v. Trier: Guided Electromagnetic Waves in Anisotropic Media, Appl. Sci.Res. 3B, 305 − 371 (1953), p. 337

[838] J. v. Bladel: Electromagnetic Fields, Hemisphere Publ. Corp., Washington/NewYork/London, 1985, p. 450 ff.

[839] H. Oechsner: Electron Cyclotron Wave Resonances and Power Absorption Effects inElectrodeless Low Pressure H.F. Plasmas with a Superimposed Static Magnetic Field,Plasma Phys. 16, 835 (1974)

[840] H. Oechsner: Resonant Plasma Excitation by Electron Cyclotron Waves—Fundamentals and Applications, in Plasma Processing of Semiconductors, ed. by P.F.Williams, Kluwer Academic Publishers, 1997, pp. 157 − 180

[841] G.N. Watson: A Treatise on the Theory of Bessel Functions, Cambridge UniversityPress, Cambridge, England, 1958, p. 201

[842] G.N. Watson: ibid, p. 199[843] R.L. Ferrari, J.P. Klozenberg: The Dispersion and Attenuation of Helicon Waves in

a Cylindrical Plasma-Filled Wave-Guide, J. Plasma Phys. 2(2), 283 − 289 (1968)[844] D. Arnush: The role of Trivelpiece-Gould waves in antenna coupling to helicon waves,

Phys. Plasmas 7(7), 3042 − 3050 (2000)

Page 36: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

Register

Abdel-Fattah, 190absorption coefficient, 619absorption edge, 34absorption spectroscopy, 369AC mobility, 106, 107acceleration grid, 280– aperture of, 280, 282– loss current at, 292acceleration voltage, 282, 285, 287, 291,

292, 294accelerator grid, 278, 284–286– hole diameter of, 281– orifice of, 284– potential of, 291accelerator hole, diameter of, 282accelerator voltage, 293, 294acoustic wave, phase velocity of, 588actinometry, 346, 368, 526– advanced, 346activation energy, 292, 397– transformation of, 519activation type, 40activation-type process, 389adhesion– of ion-beam sputtered films, 436– poor, 391adiabatic approximation, 30, 31adiabatic collision, 31adiabatic parameter, 30adsorption– non-dissociative, 519– of radicals, 519– radicals, 463afterglow, 115agglomeration, 387, 388

Agrain, 234Aisenberg, 432Alkire, 482, 555Allen, 316Allis, 16, 631α, 1st Townsend’s coefficient, 120α-electron, 70, 83α-ionization, 20, 30, 74, 75, 118, 120,

210, 212α-mode, 155α-reaction, 6α-regime, 127, 210amorphization, 292analyzer, retarding field, 22, 194, 411Anderson, 381angle of main incidence, 501, 502anisotropy, 264, 441, 445, 449, 463, 525,

527, 528, 530, 532, 537, 538, 550– caused by a static magnetic field, 610– definition of, 441– degree of, 473– dependence on E/p, 446– enhancement of, 534– etching, of, 402– maximum of, 449– of ECR etching, 499– RIPE etching, 246– static magnetic field, 235anisotropy ratio, 441anode fall, 95, 96, 419– height of, 84, 97– increase of, 96anode sheath, 43, 44, 153anode, disappearing, 410, 426anodic oxidation, 412

705

Page 37: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

706 Register

anodic zone, 95antenna, 130– RF driven, 246, 266– slotted, 130aperture, 279– dimensions of, 279– sheath thickness of, 285aperture tunnel, 293– length of, 282Appleton + Hartree

– equation of, 627, 628, 635discriminant of, 634, 635quasi-longit. approx., 635quasi-transv. approx., 635, 636

applicator, 129, 130AR coating, 436arc, 45arc discharge, 45, 410arc, electric, 1arcing, 142, 287, 289, 290, 377, 410, 425,

426ARDE, 464, 479, 481–483, 487, 488, 513area ratio, of electrodes, 160, 166, 451Arnold, 482arrangement– axial, 273– planar, 273– radial, 273Arrhenius behavior, 525, 532Arrhenius equation, 210, 402, 456Arrhenius law, 541ashing, cold, 444Asmussen, 253aspect ratio, 441, 447, 480–483, 499, 536,

544– definition of, 441Aston, 83atmosphere, cutoff in, 614atom, metastable, 99attachment, electron, 116Auciello, 396, 398, 399Auger neutralization, 37Auger process, 36, 37, 39, 40Auger relaxation, 37avalanche– charged carriers, of, 212– electrons, of, 80

avalanche process, 89avalanche, ionization, 560azimuthal mode number, 238

Bachmann, 433backdiffusion, 401backscattering, coefficient for, 36backside cooling, with helium, 292, 407,

447backsputtering, 391, 392, 412, 413backstreaming, 294– of electrons, 284, 290, 291, 294Barber, 379Barker, 522Barkhausen, 235barrel, 443barrel reactor, 127, 440, 443–445, 529,

533, 539barrelling, 482Barrett, 583beach, magnetic, 253bead, dielectric, 143beam current, 293beam diameter, 492beam divergence, 286– dependence on the perveance, 281,

284, 286, 288beam divider, 508beam plasma– potential of, 290beam potential, 280beam, collimation of, 283beamlet, 269, 276, 277, 279, 280, 283,

294–296, 467– collimation of, 284, 295– deflection of, 284– divergence of, 284, 294– radius of, 276– source of, 282– space charge of, 284Becker, 207Beer, 7Beer’s formula, 501, 506Bell contact, 391Benoit-Cattin, 199Bernard, 199Bernstein, 316

Page 38: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

Register 707

Berry, 19Bestwick, 530β-ionization, 28, 30β-reaction, 6Bethe, 23Bethe formula, 345, 347, 349bias potential, 246, 412, 428bias sputtering, 376, 416, 425bias voltage, 164, 172, 413, 439, 455, 485,

486– zero, 499Biehler’s model, 208Bigio, 463billiards, atomic, 380birefringence, anisotropic plasma, 631black snow, 550blaze, 503blistering, 393blocking capacitor, 140, 163, 165, 166Bohm, 77, 274, 576, 583, 589Bohm criterion, 180, 193Bohm edge, 59, 60, 62, 80, 93, 152, 154,

155, 160–162, 175, 176, 187, 216, 505,579, 592, 596, 602

– initial velocity at, 193– ion flux at, 478Bohm potential, 54, 56, 155, 580Bohm presheath, 60, 64, 74, 155, 193,

315, 319, 554, 596Bohm velocity, 54, 56, 64, 115, 182, 193,

202, 216, 274, 277, 279, 280, 315, 430,463, 554, 578, 580, 592

Bohm’s theory, 161Bohr’s radius, 7Bollinger, 439Boltzmann, 350Boltzmann equation, 192, 319, 574Boltzmann factor, 54, 55Boltzmann transport equation, 591bombardment, electronic, 491, 519, 531bombardment, ionic, 393–395, 400, 415,

416, 444, 457, 463, 490, 495, 518, 519,522, 529, 531, 533, 555

bonding, 376Born potential, 380–382, 385Born-Oppenheimer approximation, 355Born’s approximation, 23, 29

Boschi, 310Boswell, 243–245, 592, 638, 641, 651bottle, magnetic, 258, 259, 609Boyd, 316Boyle, 187Braithwaite, 196, 198, 202branching ratio, 345Brandt, 195, 379breakdown, 71, 118, 121– condition for, 75, 118– electric field for, 92, 118– experiment for, 118– field for, 120, 121, 123– in a transmission line, 145– optimum border of, 123, 124breakdown field, 105, 282breakdown voltage, 75, 98, 116, 152, 210,

225, 250, 252bridge, plasma, 290Brillouin diagram, 589Brinkmann, 205broad beam, 269, 276, 284, 294, 295– flux of, 294– radial uniformity of, 295broad beam source, electrodeless, 264broadband stub, 144Brown, 623Bruce, 478bubble, formation of, 393bulk plasma, isotropic, 575bulk resistance, 174bull’s eye, 462, 478bull’s eye effect, 527–529Bullard, 12Burton, 542Butler, 602

calotte, ground, 474, 475capacitive coupling, 152capacitive model, 172capacitor, blocking, 152carrier density, 299carrier generation, by ionization, 121carrier loss, by diffusion, 121Carter’s theory, 400cascade, collisional, 380cascading, optical, 348

Page 39: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

708 Register

catcher anode, 451cathode fall, 43–45, 73, 74, 83, 88, 97,

98, 210, 332, 424, 425– abnormal, 45, 80, 81– abnormal discharge, of, 82– boundary of, 79– field across, 85– height of, 74, 75, 80–82– increase of, 45– normal, 45, 70, 72

thickness of, 74– pressure dependence of, 156cathode potential, normal, 80cathode sheath, 56, 153cathode, disintegration, 375cavity applicator, 256cavity resonator, 116, 256, 263– cylindrical, 263– design of, 262cavity, cylindrical, 264CCD matrix, 510CCP, 441, 514CCP discharge, 449– asymmetric behavior, 451CD, 471, 488CD loss, 488center-of-mass system, 9Cerenkov radiation, 629Chabot, 432chamber conditioning, 373chamber gap, 367Chang, 474channel formation, 387Chapman, 455characteristic wave impedance, 141characteristic, isotropic, 457charge density, 47– highest, 66charge transfer, 29, 30, 203, 284, 539– asymmetric, 31– cross section of, 31, 33– current, 292– double, 29– measurement of, 32– resonant, 31–33, 84, 182, 183, 193–195,

198, 201, 202, 205, 209, 386, 602cross section of, 32

mean free path of, 196– symmetric, 30, 31, 195, 196, 204, 212,

556charge transfer current, 293chemical etching, ion-induced, 548chemisorption, 413, 534, 549Chen, F.F., 56, 236, 242, 590, 638, 650,

659Chen, M., 346, 368, 526Cheung, 548Child, 76Child’s law, 161, 166, 198, 283Child’s equation, 80, 82, 97, 154, 317,

592– mobility limited, 78, 79, 526– space charge limited, 77, 78, 83, 264,

280, 291, 324, 526, 599chip separation, 484Choi, 465chopping, 535circuit, sacrificial, 148circulator, 129, 256, 265Clemmow, 631cluster, 397– radius of, 387clystron, 129CMA diagram, 631– simplified, 632coalescence, 387, 388, 390coating– conformal, 414– optical surfaces, of, 411coating rate– pressure dependence of, 417coaxial cable, 143, 145– characteristic impedance of, 143– design frequency of, 143– impedance of, 143– magnetic energy in, 144– solid, 143Coburn, 200, 201, 346, 368, 419, 439,

448, 480, 522, 526, 530, 533, 537cohesion– by interdiffusion, 391– interfacial, 391– oxidic, 391coil configuration, 228

Page 40: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

Register 709

Ohmic loss, 225collimation, 295– ion beam of, 285– requirement for, 285collision– adiabatic, 31– between ions, 271– Coulombic, 125, 574– elastic, 5, 201, 202, 212, 330

between electrons and neutrals, 613– inelastic, 5, 201, 330, 574– knock-on, 384– ternary, 32collision approximation, binary, 380collision cascade, 378, 380, 381, 383collision frequency, 13, 15, 16, 65, 66,

106, 118, 124, 125, 207, 252, 339– effective, 335

volume-averaged, 336– electrons, 155, 609– of elastic scattering, 299– transition of

border of, 123, 124collision number, 202, 203, 207, 575– of electrons, 315– of ions, 193, 315collision rate, 46collision, randomization of, 201Collison, 623column, positive, 42–45compensating electrode, 301, 312compressive stress, at high pressure, 425Compton, 323condensation coefficient, 416–418condensor method, 32conditioning, 373conductance, 279conducting wall, 637conduction current, 173, 637conductivity– complex, 19– imaginary part of, 126– real part of, 126cone, 393, 394, 396, 398–400, 466– angle, 441– density of, 398, 399– formation, 464

– formation of, 393, 394, 396, 398, 472– growth of, 396– structure of, 399– truncated, 394configuration, eclipsed, 275Constantine, 499contamination-induced mechanism, 400convection, 92, 456, 460, 527core model, 180correlation function, 380correspondence principle, 30, 31corrosion, 444cosine distribution, 375cosputtering, 376, 414, 417Coulomb collision, 237, 570Coulomb potential, 19coupling– capacitive, 127, 128, 153, 154, 156,

169–172, 274– coaxial to waveguide, 145– DC, 153, 154, 156– inductive, 127, 128– inductive/resistive, 169–171– resistive, 171, 172– resonant, 233coupling factor, 166Cox, 56Cramer, 19cross drift, 266cross section– absorption, 10– angular dependence, 12– asymmetric, 195– β-ionization, 29– differential, 8, 9, 12, 19, 20, 27, 202,

207, 380, 381– elastic, 11, 15, 106

measuring of, 19– elastic collision, 30– elastic scattering, 7, 16, 28, 33, 183,

203– energy dependence of, 32, 85, 196– for electron absorption, 329– gas kinetic, 34– inelastic, 23, 24, 62– ion-neutral collisions, 181– ionization, 23, 28, 30, 74, 84, 86, 87

Page 41: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

710 Register

electronic impact, 25, 26energy dependence of, 73, 85

– momentum transfer, 9, 13, 416– of ionization, 252– photo ionization, for, 35– thermal, 34– total, 9, 13, 27, 28, 33, 193cross-drift, 605crosstalk, source of, 147Cunge, 369Cuomo, 415current density– cathodic, 45– ionic, 57, 75– mobility limited, 449– reduced, 82, 98– space charge limited, 303, 449current limit, 139, 142current path, 80current resonance, 139cutoff, 234, 259, 628–630– angular dependence of, 628– definition of, 245– L-wave, 631– ordinary wave, 636– R-wave, 631– TEM mode, of, 143cutoff condition, 622cutoff frequency, 143, 146– definition of, 628– for Langmuir probes, 328cutoff plasma, 618, 619, 623, 625cycloid, 422, 424cyclotron frequency, 420, 604, 641– electronic, 235, 237– ionic, 235– limit, 609– of electrons, 249cyclotron resonance– electronic, 19, 246, 630– ionic, 630Czarnetzki, 302

damage, crystal, 444Damkohler number, 460, 462dark space, 48, 82, 93– anodic, 41, 84, 95, 96

electron current density, 96ion current density, 96thickness of, 97

– Aston’s, 41, 69– cathodic, 41, 43, 75, 85, 88

thickness of, 74, 76, 79, 97– collisionless, 80– Crooke’s, 1, 41, 43, 69, 85– DC discharge, of, 93– definition of, 52– electric field across, 69, 210– equilibrium, 95– Faraday’s, 41, 42, 52, 85, 95– ionization in, 81– linear field decrease across, 195– matching of, 451– thickness of, 75, 76, 80–82, 85, 97, 376

reduced, 82, 83– thickness, of, 81–83dark space shield, 376Davis, 195, 198, 202, 356Davy, 1DC bias, 140, 153, 160, 161, 169, 172,

201, 207, 232, 233, 245, 264, 376, 400,430, 445, 449, 450, 452, 481, 499, 500,513, 548–551

– drop of, 449– power dependence of, 450– pressure dependence of, 450DC conductivity, 157, 176, 403DC coupling, 152DC discharge, 487– fast changing polarity, 151DC field– linear, 204– uniform, 203DC mobility, 107DC offset, 152, 153DC sheath, 154, 193, 583– thickness of, 599de-Broglie wavelength, 30Debye, 48Debye + Huckel, theory of, 48Debye length, 2, 49–52, 56, 57, 66, 76,

77, 80, 91, 153, 158, 193, 196, 209,210, 264, 300–302, 313–315, 318, 326,332, 588, 592, 593, 596, 622

Page 42: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

Register 711

Debye screening, 52Debye shielding, 225Debye wavevector, 66decelerator grid, 286decomposition, of InP, 551DECR system, 256Deichsel, 494Demchisin, 389density of states, 38density, of radicals, 451deposition– energy of, 427– hard, 430– soft, 430deposition rate, 412, 413, 500, 512– enhanced, 425– reactive sputtering, 411depth profile, of projectiles, 384Derjaguin, 433design frequency, 144– standing waves at, 143desorption rate, 519, 550detector diode, 265diamond– amorphous, 437– phase purity of, 433diamond crystal, 433dielectric constant– complex, 615, 616– imaginary part of, 404, 617– negative, 66– real part of, 403, 617dielectric function, 587dielectric loss– frequency dependence of, 145dielectric wall, 637dielectric, low-loss, 129, 156diffusion, 2, 87, 88, 92, 111, 116, 326,

454, 460–462, 527, 610– ambipolar, 84, 94, 114, 212, 228– loss by, 121– radial, 612– reactants of, 460diffusion coefficient, 89, 91, 96, 114, 396,

458, 460– ambipolar, 91, 92, 94, 111, 114, 115,

124

– dependence on the electric field, 112– electronic, 111– enhancement by a magnetic field, 233– free, 92– ionic, 111– normal, 612– parallel, 612– transverse, 612diffusion control, 462, 476, 483, 532, 542diffusion equation, 113– spatial dependent, 118diffusion length, 112, 114, 118, 121, 612– definition of, 121– enhancement of, 612– enlargement of, 251diffusion loss, 71, 86, 114, 115, 514diffusion mode, 112, 113diffusion potential, 228diffusion profile, 460diffusion rate, 396diffusion tensor, symmetric, 612diffusion velocity, 460dilution– chemical, 448, 449– physical, 448Dimigen, 466diode system, 376discharge– abnormal, 81, 84, 99, 154– asymmetric, 342– capacitively coupled, 207–209– coronal, 1– dark, 83– DC, self-sustained, 82– dual-frequency, 111– electrodeless, 127, 308, 313– glow, 1– hollow-cathode, 291– low pressure, 1– low temperature, 1– microwave-driven, 110– normal, 71, 84, 99– self-sustaining, 80– steady-state, 128– sustaining of, 84discharge current, 174discharge voltage, 277, 292

Page 43: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

712 Register

dispersion relation, 238, 614– cylindric whistler waves, 646– high-density limit, 646– imaginary part of, 590– large, 646– plane whistler waves, 625– real part of, 590dispersion, spatial, 628displacement current, 637– across the sheath, 169dissociation– degree of, 368– ionizing, 20dissociation energy, of chlorine, 363distribution– cosine, 414– cosinoidal, 472distribution function, 574– velocity derivation of, 590divergence, 285, 287, 294– angle of, 275, 284– ion beam, of, 282, 283DLC, 432Donnelly, 343, 346, 356, 369, 403, 448,

541doping effect, 539Doppler effect, 302Doppler shift, 48, 353dose, ionic, 393double band, Bjerrum’s, 48, 354, 355double electrode, 327double probe, 313double probe system, 308dovetailing, 482, 484downstream configuration, 429downstream control, 452downstream plasma source, 217downstream process, 441downstream zone, 228drift, 119, 460drift term, 91drift velocity, 100, 106, 119– electronic, 212– ionic, 182Drude, equation of, 248, 623, 638Druyvesteyn, 84, 99, 350

Druyvesteynian distribution, 87, 188,190, 305, 326, 329, 330, 352, 572, 574,575

dual magnetron sputtering, 410dual-frequency– discharge, 111– system, 217dummy load, 256, 265duty cycle, 410

e-beam gun, 427E-mode, 218, 227E-type, 156earth, magnetic field of, 235, 266, 632,

633Eckstein, 379Economou, 192, 482ECR, 441, 514ECR condition, 636, 652ECR discharge, 449, 487ECR heating, 233, 235, 247, 255, 591ECR layer, 236, 253, 256, 258ECR operation, 236ECR-wave, 657eddy current, 148edge coating, 555EEDF, 15, 64, 110, 125, 155, 188, 189,

236, 237, 304, 310, 326, 329, 350–352,367, 574, 575, 591

– dependence on ω, 126– Druyvesteynian, 110– Franck-Hertz, 22– frequency adjustment of, 110– frequency dependence of, 118– high-energy tail of, 107, 126, 236– maximum of, 582– Maxwellian, 110, 114, 125– non-Maxwellian behavior of, 367– normalized, 87– operating frequency, 125– plasma density

influence of, 110– shape of, 125– tail of, 210– time-dependent, 126– time-independent, 576effective field, 126, 176, 251

Page 44: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

Register 713

Efremov, 372, 373Egerton, 404eigenmode, radial, 657Einstein-Smoluchowski, eq. of, 221Einsteinian photo effect, 39Einsteinian relation, 91, 114, 120, 124elastic scattering, cross section of, 386electric field– for breakdown, 111– for maintenance, 111electrode fall, 57electrode gap, 155electrode potential, 152, 163, 167, 168,

172, 308– RF driven electrode, 166– time-averaged, 172electrode sheath, 56electrode surface, 160electromagnetic waves– penetration depth of, 618electron– last, 85– primary, 85– secondary, 85– thermalized, 85electron attachment, 5, 20, 25, 26, 28,

114, 115, 352, 361, 362, 364, 538, 551– dissociative, 25– reaction of, 364electron attachment, of chlorine, 363electron clouds, 48electron collection current, 304electron collection region, 304electron confinement, 271, 424electron current, 316– space-charge limitation of, 290electron current density, 84, 96electron density, 2, 50, 55–57, 92, 108,

112, 113, 116, 163, 305, 313, 449, 458,632

– determination of, 362, 636– exponential decay, 115– finite, 176– instantaneous, 181– spatial dependence of, 115– time-averaged, 598– volume-averaged, 336, 337

electron energy, 93– Maxwellian distribution of, 237, 350electron inertia, 185, 593, 655, 656, 658– neglect, 632, 636electron oscillation, longitudinal, 630electron repelling current, 315electron saturation current, 304, 329, 330electron sheath– instantaneous boundary, 594, 596, 598– maximum amplitude of, 594– pulsating, 594, 597electron suppressor, 277electron temperature, 2, 50, 55, 57, 105,

120, 125, 193, 210–212, 252, 253, 299,301, 305, 306, 309, 313, 317, 319, 326,329, 331, 344, 349, 359, 368, 369, 430,452, 517, 572, 578, 582, 583

– finite, 316– frequency effects, 186– in ECR discharges, 264, 267– inhomogenity of, 228– radial homogenity of, 228– RF discharge, in, 211electron trap, 329, 419, 544, 551electron velocity, 107electroneutrality, 49, 65electrons– inertia of, 651, 652– non-Maxwellian behavior, 326, 329– thermal velocity of, 642electrostatic oscillation– dispersion of, 586– group velocity of, 586ellipsometry, 500, 502Emeleus, 602endpoint detection, 466energy density– magnetic, 420– material, 420energy dissipation factor, 146energy loss parameter– Langevin’s, 100energy loss parameter, Langevin’s, 10,

116, 205, 567, 575, 591energy transfer, 380, 381– coefficient of, 381– frequency of, 575

Page 45: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

714 Register

energy transfer function, 382, 384Engemann, 130ensemble, canonical, 345ensemble, size of, 202equilibrium, dynamic, 452equipartition of energy, 59equipotential surface, 143, 314– Langmuir probe, of, 196equipotential zone, 163erosion, 466– by sputtering, 400Este, 427etch characteristic, spatially dependent,

457etch profile, 462– rectangular, 489– simulation of, 555etching– fluorine-induced, 529– ion-induced, 525– neutral-induced, 525– spontaneous, 532etchrate, 290, 401, 440, 449, 480, 481,

500– angle dependence of, 488– angular dependence of, 467–469, 490– anisotropy of, 446– central, 527– change by ion density, 478– chemical, 463, 519, 526, 528– chemical part of, 446– dependence on etchrate and pressure,

450– dependency of, 449– diffusion control of, 481– flow limited, 456– flowrate limited, 456– generation to reaction, 463– horizontal, 246, 447– horizontal component, of, 457– increase of, 444, 519, 543– initial effects of, 466– ion-assisted, 463– limitation of, 455– loading dependent, 456– maximum angle, 488– maximum of, 449, 489, 551

– negative, 533, 551– normalized, 480, 528– physical, 526– pressure dependence of, 401– radial dependence of, 463, 528– radial enhancement of, 527– radial uniformity of, 228– saddle-shaped design of, 450– temperature dependence, 466– time dependent, 447– time-dependent, 480– vertical, 246, 447, 532– vertical component, of, 457evanescence, regime of, 110, 618, 619evaporation rate, 541evaporation, by electron beam, 386Ewald, 182, 183excitation electrode, 163, 164extraction system, 609extraction, single-grid, 279

facet, 399faceting, 464, 467–469, 472, 488, 489– suppression of, 488Faraday cage, 32, 443, 444Faraday cup, 276Faraday shield, 147, 148Faraday’s dark space, 52, 94, 95FCC, 126, 145, 233Federal Communications Commission, 126,

127, 145, 233Feltsan, 347Fermi acceleration, 177Fermi energy, 38, 47Fermi level, 38, 539Fermi velocity, 47Ferreira, 574field– effective, 117, 122, 251– uniform

border of, 121, 124field drift, 105field, effective, 108, 109, 126field, RMS, 107, 109filament, 80filament current, space-charge limited,

290

Page 46: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

Register 715

film formation, theory of, 387film, electrochromic, 411filter function– after Blackman, 328– after Gauss, 328– square, 328first wafer effect, 359, 373floating potential, 52, 54, 55, 103, 153,

156, 169, 304, 306–310, 603flow rate, 460flow velocity, 456fluorescence, 403fluorescent lamp, 1, 3, 42fluorine source, permanent, 534Flynn formula, 416fomblin oil, 552foot, basic, 556foot, of the etched structure, 477force of friction, 192forward voltage, 142forward wave, 142Fox, 22Frank-Condon principle, 356Franck-Hertz experiment, 21Franklin, 1Franz, 370, 494, 545, 549free-electron model, 157freon, 542frequency– collisions, 9– elastic collisions, 111, 118, 152, 251– momentum transfer, 10, 338, 451friction, 192, 194Fridman, 591Fujiwara, 481

G-star, 1Gaebe, 371Gaede, 27Gaerttner, 415γ-electron, 70, 80–83, 85, 88, 127, 151,

155, 172, 209–212, 417, 450– yield of, 172γ-ionization, 74, 75γ-process, 69, 80, 88, 302, 600γ-reaction, 6γ-regime, 209

gap, 451, 452– between the electrodes, 451gap width, 452Garscadden, 602gas breakdown, 71gas chopping, 535gas feed, central, 464gas flow, 452–454gas shower head, 442gauge component, 346, 351gauge gas, 346GDMS, 511, 512GEC cell, 273Geis, 493Geissler, 1Geltman, 24generation rate, 86, 94– ratio to etchrate, 463geometric resonance, 140global model, 58, 339, 351, 452glow cathode, 427, 428glow discharge, 45, 196, 427– abnormal, 45– normal, 43, 45– obstructed, 97– sustaining of, 97glow discharge cleaning, 444glow lamp, 151glow negative, 86glow, intensity of, 421glow, negative, 6, 43, 44, 48, 52, 53, 59,

69, 74, 77, 82, 84–88, 95, 97, 195, 209,212

– edge of, 87– extension of, 86– length of, 87– potential of, 97– radial shrinking of, 80glowing cathode, 427Godyak, 161, 177, 183, 188, 310, 312,

338, 591, 592, 600Goedheer, 591Gottscho, 27, 302, 356, 371, 403Gould, 237Gozadinos, 178, 179, 592– pressure heating model of, 180grain boundary, 400

Page 47: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

716 Register

gras, 394Graves, 111, 185, 210grid– aperture of, 284– dished, 270– micro-mesh, 285– plane, 270grid current, 291, 293grid erosion, 285grid optics, 269, 275, 284– acceleration system of, 271– conductance of, 282– downstream side of, 292– pattern of, 294, 296– upstream side of, 292Gross, 583, 589group velocity, 578, 614– at cutoff, 628– at resonance, 628– increase of, 658– TG-waves of, 657Grove, VII, 375growth, columnar, 390gun, e-beam, 386gyration radius, 605

H-mode, 218, 227H-wave, 256Hacman, 403Hagstrum, 38, 374Hajicek, 396Hall term, 638, 640, 656–658halocarbon, 537, 542halocarbon radical, 538hard sphere model, 14, 201hard sphere potential, 192hard spheres, 204hard wall model, 177hare’s ear, 474Harrison, 409Hartree potential, 19Heald, 624heating– displacement current, 110, 174– of electrons, 174– of ions, 174– Ohmic, 110, 158, 173, 176, 219, 220

plasma bulk,in, 176– stochastic, 110, 155, 173, 174, 209, 211,

219, 313, 335, 339, 592heating zone, 129Heavyside layer, 235Hebner, 371, 373Heg gas, 100, 117helical resonator, 368helicon, 234, 238, 441, 656, 659– phase velocity of, 236helicon approximation, 656helicon discharge, 326helicon model, 243helicon wave, 256, 258, 266– standing, 244helicon waves, 234, 243– reactor for, 246– reactor geometry, 266– regime of, 233– resonance condition, 239helicons– dispersion of, 256– phase velocity of, 658helix, 247Helmholtz equation, 89, 111Herzberg, 505hexode reactor, 156, 457HF discharge– E-type, 127– H-type, 128– ionization mechanism, 210high-density plasma, radial inhomogen-

ity of, 266high-pass filter, 152Hoffman, 415, 425hole, 393hollow cathode, 170, 209, 269– cylindrical, 98hollow cathode discharge, 97, 98, 290,

427– reduced diffusion to the walls, 97hollow waveguide, plasma filled, 243Hopwood, 221horn, 130, 443Horwitz, 171, 451Howard, 547Hu, 542, 547

Page 48: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

Register 717

Hudson, 391, 396Huckel, 48Hussla, 403hybrid resonance, upper, 630hyperspace, parametric, 430

I(V) characteristic, 44, 45, 104, 300, 302–310, 323, 325, 326, 331, 411, 421

IADF, 191, 202–204, 207, 208, 482, 527,583

– energy dependence of, 555, 556– fully developed, 207, 556, 557– pressure dependence of, 203, 207– upper limit of, 207ICP, 441, 514ICP reactor, 128IE, 529IEDF, 191, 196, 197, 199–204, 208, 439,

529, 583– Ar+-ions, of, 196– bimodal, 199, 207– broadening of, 198– dependence on magnet field, 265– frequency dependence of, 200– maximum of, 529– Maxwellian behavior, 207– pressure dependence of, 196, 197, 202– saddle-shaped profile of, 208– shape of, 207– sheath alteration, 305ignition voltage, 104impact– collisional, 400– ionic, 478impedance, 142– characteristic, 141, 145impedance matrix, 341impedance scan, 341implantation, 377, 382, 402incandescent lamp, 1incidence– angle of, 207, 437, 555– normal, 489, 527inclination angle, 467, 551inclusion, 398, 400inductive coupling, 127inelastic scattering, electronic, 66

inertia– electronic, 483, 640– ionic, 153, 419, 483Ingold, 34, 79, 97Ingram, 196, 198, 202, 482ink-jet head, 563interdiffusion, 392interfacial layer, 391interferometry, 500invariance, adiabatic, 607, 609ion acceleration, 264ion beam– charge neutralization of, 289– collimation of, 283, 284– current density of, 287– current neutralization of, 289– neutralizion of, 290– performance of, 295– space-charge limited, 280ion beam current density– constriction of, 283– maximum constriction of, 283ion beam deposition, 270– secondary, 270, 285ion beam divergence, 287ion beam etching, 442, 474, 526ion beam mode, 260, 263ion beam reactor, processing chamber,

269ion beam source– electron confinement in, 277– inductively coupled, 272– primary electrons in, 277ion beam system, 442ion beamlet, focusing of, 282ion channeling, 498ion cloud, 49, 50ion current, 165, 316– across the sheath, 169– neutralization of, 283– performance of, 284ion current density, 84, 154– mobility limited, 76– potential dependence of, 526– space charge limited, 76ion density, 44, 48, 56, 57, 113, 158, 593,

596

Page 49: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

718 Register

– across the sheath, 332– drop in, 478– inhomogeneous, 181– zone of equal, 260ion dose, 397, 399, 400ion energy, 483– broadening of, 198ion energy spectrum, bimodal, 201ion etching, 128, 439, 441, 445, 446ion flux, 186, 396, 399, 401, 478, 484,

492, 517, 526– dependence on angle of incidence, 203– energy dependence of, 203– mobility-limited, 70ion generation, 264ion inertia, 191, 200ion milling, 270ion milling system, 269ion optics, 287, 290, 294– four-grid, 278– sheath, 279– single-grid, 278, 279ion saturation current, 325ion sheath, electric field of, 594ion sound velocity, 578ion source, broad beam, 280ion temperature, 207, 301ion thruster, 269ion trajectory, 476ion transit frequency, 446ion velocity, 197ion wave, 578ion, radical, 444ion, thermal velocity, 183ion-beam current, 294ion-beam current density, 291, 295– maximum of, 291– requirement for, 285ion-induced etching, 555ionic density, 64ionization– avalanche of, 69– cathodic, 69– collision, 212– cross section of, 87– degree of, 2, 245, 345, 402

in a capacitively coupled plasma,234

– efficiency of, 110– electronic impact, 21, 25– gaseous, 74– impact, 6– in the cathodic dark space, 69– number of, 400– surface, 74– threshold of, 34– yield of, 511ionization degree, 427, 575ionization frequency, 112, 113, 118ionization potential, 16, 30, 31, 38, 43,

74, 82, 95, 105, 269, 330– argon, 2nd, 271– effective, 117– first, of Ar, 86– metals, of, 427ionization rate, 186, 210, 211, 230– electron density, dependence of, 210– electron temperature, dependence of,

210ionization threshold, by ionic impact, 29ionization, degree of, 244ionosphere, 615, 632, 633ions, depletion of, 478IR spectroscopy, 537island growth, 387, 388island of stability, HF discharge, 124ITO layer, 410Itoh, 512

Jensen, 210John, 510, 515Jones, 419Jungblut, 195Jurgensen, 555, 556

Kaufman, 292, 396Kaufman source, 269, 271, 274, 285,

493Kay, 200, 201, 408Kelly, 398Kelvin equation, 387Kennedy, 591Khan, 549

Page 50: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

Register 719

Kidd, 394Kim, 549Kino, 602Kirkendall pore, 387, 391Klick, 161, 333Knoll, 415Knudsen characteristic, 436Knudsen regime, 480Kobayashi, 433Kohler, W.E., 575Koenig, 104, 165Kollath, 12Kushner, 191, 202, 370

L-matching network, 140L-network, 140L-wave, 235–237, 247, 248, 250, 561– absorption of, 250– cutoff, 250, 630, 631– dispersion, 632, 633– polarization, 637– refraction index, 631– resonance, 630, 631– wavevector, imaginary, 632L-waves, propagation of, 632Laframboise, 316Lam, 319λ/4 window, 266λ/4-layer, 411Lambert, 7Landau, 583, 589Landau damping, 67, 217, 236, 240,

242, 246, 250, 266, 561, 575, 590, 591,638, 659

Langevin, equation of, 248, 623Langmuir, 41, 58, 76, 300, 317, 323, 375Langmuir characteristic, 310Langmuir curve, 245Langmuir paradoxon, 87Langmuir plasma, 52Langmuir probe– double, 236Langmuir probe, 45, 87, 252, 299, 307,

308, 310, 592– characteristic of, 304– double, 244– effective area of, 305

– modified, 196– potential of, 317, 322, 324– probe current of, 308– probe potential of, 304– probe voltage of, 304– retarding-field region of, 304, 305– sheath potential of, 308– transition region of, 304Langmuir profile, 182, 458Langmuir sheath, 583Langmuir theory, 161large-angle scattering, 192Larmor equation, 420, 424Larmor frequency, 237Larmor radius, 247, 258, 265, 326Larmor relation, 604, 607laser interferometry, 403lattice damage, 271, 285, 384, 440, 487lattice defects, 377lattice disordering, 498Laubert, 379layer growth, with ion bombardment,

430layer, single-phase multi-element, 418Lee, Y.I., 526Lennard-Jones potential, 7, 201LER, 216, 464, 471, 472, 488leverage effect, 478Lichtenberg, 183Lieberman, 58, 161, 177, 183, 591, 592,

596, 598–600, 602– collisionless sheath model of, 180LIF, 330, 356lifetime, flowrate limited, 456lift-off technique, 386LIGA, 563Lincoln, 493lithography, 442Liu, 206, 529load impedance, 139, 142loading effect, 455–457, 525– 1st order, 483, 505, 506, 513, 528– 2nd oder, 457– first order, 478Loeb, 272Logan, 171longitudinal wave

Page 51: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

720 Register

– dispersion of, 587– dispersion relation of, 586, 589– group velocity of, 588– penetration depth of, 622– phase velocity of, 588– skin depth, 587longitudinal waves– dispersion relation of, 588– skin depth of, 588Lorentz force, 604Lorentz plasma, 65loss– by diffusion, 514– conductive, 142, 143– cone, 609– dielectric, 142, 143– mechanism, 2– rate, 86, 94Lotz, 24, 347Lotz formula, 349Loureiro, 574low pressure plasma, 47Luthje, 466

Magistrelli, 310magnetic bottle, 258, 259, 264, 266– trapping condition, 609magnetic field, divergent, 258, 259, 265magnetic mirror, 266magnetic moment, 609magnetoplasma, 238magnetron, 129, 250, 256, 271, 420, 421– circular, 424– cylindrical, 424– planar, 424Maier-Leibnitz, 22Maissel, 104, 165, 451Malyshev, 343, 368, 369, 372, 373Mansour, 415, 416Margenau distribution, 571, 572, 575mask erosion, 464, 467mass balance, equation for, 460mass spectrometry, 500, 510– conventional, 510– energy resolved, 299– energy-resolved, 198– glow discharge (GDMS), 511, 512

– normal, 511Massey, 12, 16, 30matching network, 140, 243, 265, 340,

341, 376– L-type, 342– power loss in, 402matching stub, 263matrix sheath, thickness of, 76Mattox, 391, 427Mawella, 414Maxwell, 13, 350Maxwellian distribution, 47, 53, 86,

87, 99, 188, 190, 202, 207, 253, 352,572, 574–576, 587, 589–591

– electronic, 18, 25, 56, 59, 86, 87, 305,309, 310, 325, 326, 329, 330

with two temperatures, 305– ions, 80– maximum of, 66Maxwellization, 591Mayer, 522McDonald, 391McNevin, 448, 541McVittie, 555mean free path, 6, 7, 9, 15, 118, 124, 197,

203– argon atoms, of, 7– border of, 122, 124– electronic, 27, 65, 72, 81–83, 85, 94,

98, 108, 121, 155, 172, 210, 247, 252,271, 301, 315, 451

– ionic, 8, 56, 65, 70, 76, 79, 181, 183,191, 193, 197, 202, 301, 315, 386, 446,450, 555

– molecular, 530– of molecular ions, 198– optical excitation, of, 86– sputtered atoms, of, 386mechanism– power transfer, for, 266– roughness-induced, 395, 396Meeks, 370Meijer, 591memory effect, 534MEMS, 563meniscus, 280MERIE, 217

Page 52: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

Register 721

metastable, 347metastable species, 6method of trajectories, of Harrison,

385microdamage, 519microdivergence, 276microetch system, 269microfeature, 440, 464, 478microloading, 476, 483micromasking, 555microwave cavity, 260microwave interferomery, 622microwave interferometry, 299, 325microwave window, 110, 130migration, radiation-induced, 400Minkiewicz, 455mirror coating, 375mirror, magnetic, 609Misium, 181mismatch, 143Mitchell, 403Mityureva, 348mobility, 43, 613– limit of, 82mode, 237, 263– cylindrical, 145– dominant, 143, 263– fundamental, 263– ion beam, 260, 263– plasma stream, 258, 263– Q-factor of, 263– stabilization of, 253– TE-, 262–264, 637– TEM, 143– TM-, 261, 263, 637

resonance frequency of, 261– Trivelpiece-Gould, 641mode converter, 265mode hopping, 250, 265, 266mode jumping, 240, 241mode mixing, 657model potential, 201Mogab, 455, 532Moisan, 110, 111, 125, 574molybdenum bronze, 392momentum transfer, 442, 466, 536– cross section for, 572

– frequency of, 571, 575, 618Monte-Carlo calculation, 555Monte-Carlo method, 186, 188, 189, 192,

201, 203, 204, 207, 383Monte-Carlo simulation, 185, 201, 208,

399Morgan, 86morphology, columnar, 388Morse, 16Mott-Guerney equation, 78Mott-Smith, 58, 300Movchan, 389Muller, 233Mullaly, 631Mullius, 448multi-wafer process, 461Meyers, 27

Nakanishi, 18native oxide, 392, 417, 444, 540near field, 147negative glow, 43, 53– EEDF in, 87negative ions– density of, 359– mean free path of, 386neutral density, 359neutral flux, 478neutralizer, 291Niggebrugge, 550Nojiri, 487Norstrøm, 104notching, 464, 482nuclear stopping power, 381, 383nucleation, 387, 392, 400, 491nucleation theory, 396number density, 13– absolute, 368

O-star, 1O-wave– cutoff, 630– cutoff of, 636– polarization, 637– resonance of, 636octopole, 246Oechsner, 651

Page 53: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

722 Register

Oehrlein, 473, 530OES, 299, 444, 500, 502, 503Ohmic heating, 106, 109, 110, 158, 173,

176, 181, 211, 236, 334, 338Ohmic loss, frequency dependence of,

145Ohm’s law, generalized, 638, 639OML, 325O’Neill, 537open-shell system, 444, 530optical surface, coating of, 408optical switch, 563optics– three-grid, 285, 287– two-grid, 285, 287oscillation amplitude– border of, 123, 124oscillation, ionic, 86

PACVT, 529pair interaction, 380pair potential, 29Panagopoulos, 230parallel resonance, 140parallel-plate capacitor, 75parallel-plate reactor, 92, 128, 156, 168,

441, 442, 445, 453, 457, 460, 461, 463,512, 533

– configuration of, 266Park, 385partial wave method, 16particle– primary, 393– secondary, 393particle-in-cell, 313, 592Paschen, law of, 72, 98Paschen curve, 73Paschen minimum, 73, 82, 88, 120Pashley, 387path, mean free, 2PE, 128, 529Pearton, 499, 548Peclet number, 460, 462, 463PECVD, 128, 533pendulum effect, 97penetration depth, 292– ions, of, 396

– of electromagnetic fields, 233Penning, 84, 99Penning effect, 73, 74Penning ionization, 33, 511Penning process, 419, 512Person, 182perveance, 281–284, 286, 288– normalized, 282–284Petrovic, 27phase difference, 501phase velocity, 66, 141, 578, 614, 629,

631, 636, 641, 642, 652– at resonance, 629– helicon waves of, 656– TG-waves of, 657phase width, 391photodetachment, 371photoeffect, 97photoelectric effect, yield of, 84photoelectrons, yield of, 39photoionization, cross section of, 40photoluminescence, 403photoresist, degradation of, 292physisorption, 408, 549π-matching network, 140, 266π-network, 140PIC, 192, 592pick-up, 327Piejak, 188pillar, 394Fermi level, 498pit, 393, 398–400– facet of, 399PL signal, 499plasma– anisotropic

resonance in, 634– athermal, 5, 58, 576– capacitance of, 600– capacitively coupled, 168, 621– collisionless, 120, 615, 622– displacement current, 592– ECR driven, 621– electronic conduction current, 592– filtering quality of, 632– generation in the plasma bulk, 451– half-length, 182

Page 54: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

Register 723

– inductively coupled, 618, 620– Langmuir’s definition of, 51– low-density, 2– pulsed, 115– spatially homogenous, 246– tenuous, 2, 615plasma ashing, 444, 445plasma beam mode, 264plasma boundary, 478plasma bridge, 291plasma bulk, 2, 48, 88, 109, 115, 153,

154, 157–159, 163–166, 172, 176, 178,186, 195, 209, 212, 331, 335, 439, 444,452, 495, 500, 511, 512, 517, 518, 525,576

– as homogeneous zone, 303– center of ionization, 210– composition of, 512– conduction current in, 209– conduction current within, 161– electric field across, 159, 165– electric field in, 155, 181, 575– electrical conductivity of, 336– electron confinement within, 273– electron density in, 154– electron temperature of, 358– electrons of, 91, 182, 424– entering of γ-electrons, 450– frequency effects, 186– gas temperature of, 340– glowing, 174, 340– ion density in, 332– ionization center, 211– length of, 335– plasma density in, 184– positive ions out of, 412– potential of, 155– quasineutrality of, 576– rapid electrons from, 392– resistance of, 173– surface of, 60– trapped electrons in, 181– trapping of electrons in, 420plasma color, 245plasma confinement, 217plasma density, 2, 47, 51, 52, 57, 67, 77,

103, 112, 128, 129, 206, 225, 245, 246,

253, 266, 272, 299, 309, 316, 331, 335,347, 359, 420, 427, 483, 487, 500, 514,517, 548, 559, 578, 582, 583, 659

– at substrate level, 441– at the Bohm edge, 602– by resonant coupling, 267– central, 623– frequency effects, 186– helicon reactor, 244– high, 125– high frequency generation, 186– in a capacitively coupled plasma, 234– in ECR systems, 266– in electronegative plasmas, 361– in helicon plasmas, 243– in ICP discharges, 266– in inductively coupled plasmas, 234– in microwave discharges, 111– in the ECR region, 326– increase of, 111– inhomogenity of, 228– low, 125– radial homogenity of, 228plasma density, average, 367plasma edge, 599plasma etching, 128, 439–441, 445, 446,

528– definition of, 445plasma flickering, 265plasma fluctuations, 310plasma frequency, 66, 129, 234, 263, 274,

420, 613, 641– definition of, 66– electronic, 152, 155, 156, 158, 209, 235– ionic, 152, 157, 163, 173, 209, 212, 431,

445– parallel, 335– serial, 335plasma ignition, 115, 225plasma impedance, 139, 331– capacitive, 139– inductive, 139plasma oscillation, 87, 586plasma oxidation, 412plasma potential, 52, 54, 55, 152, 153,

155, 163–165, 167–172, 174, 187–189,197, 213, 219, 220, 228, 232, 271, 277,

Page 55: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

724 Register

278, 291, 292, 302, 304, 306–310, 313,324–327, 412, 420, 430, 443, 445, 451,452, 485, 526

– constant, 592– DC component of, 167– definition of, 169– determination of, 326, 330– fluctuations of, 327– for plasma etching, 445– in helicon plasmas, 243– instantaneous, 166, 191– local, 155– lowermost value of, 164– maximum of, 167– measuring of, 445– minimum of, 167– reduction of, 169– spatial distribution of, 370plasma resistance, 139, 638plasma sheath, 110plasma source– barrel-shaped, 623– pressure in, 279plasma speaker, 434plasma stream mode, 258, 263plasma tail, 575plasma temperature, 85plasma volume, 514plasma wave, 66, 587– generation of, 590plasma, dusty, 550plasma, high-density, 446plasma-bridge neutralizer, 272point defect, 498poisoning, 426Poisson-Boltzmann equation, 49, 55,

577, 580polarization– degree of, 500– extraordinary wave, 637– i. anisotropic plasma, 637– L-wave, 637– of ordinary waves, 636– ordinary wave, 637– R-wave, 637polymer, 471– formation of, 450

polymer point, 533, 550– bias threshold of, 550polymerization, 534– surface, 550polywater, 433Popov, 177, 236, 250positive column, 42, 43, 48, 52, 67, 69,

95, 96– field of, 86– head of, 85, 86– length of, 94– potential of, 94– striations in, 86positive column, field, of, 94potential– floating, 80, 310– self-consistent sheath, 597potential diagram, transition within, 356potential function, 380potential technique, retarded, 22potential theorem– Koenig + Maissel, 451, 602power factor, 339power output, 139power splitter, 412Poynting vector, 631pre-etching, 377pre-sputtering, 377precession plane, 631precession radius, 606presheath, 55, 56, 279, 319, 593– Bohmic, 182, 313, 319, 592, 593presputtering, 392, 512pressing, isostatic, 407pressure heating, 179pressure sensor, 563primary effect, 393primary process, 6principal direction, 628, 629principal wave– cutoff, 630– resonance, 630principal waves, 630probe potential, 197, 304, 315, 325, 330propagation band, X-wave, 630property, invariant, 81proximity effect, 475

Page 56: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

Register 725

pseudopotential, 19pump, differential, 510pumping speed, 452pyramid, 393–395, 399, 400– formation of, 400pyrometry, 403

Q-factor, 250, 252, 263, 264, 590quadrupole, 246quality factor, 132quasineutrality, 51– definition of, 50quasineutraliy, 265

R-wave, 235, 237, 247, 248, 250– absorption, 250– band of propagation, 235– cutoff, 630, 631– dispersion, 632, 633– phase velocity of, 236– polarization, 637– range of propagation, 237– reflection, 631– refraction index, 631– resonance, 630, 631– wavevector, imaginary, 632R-waves, 236– propagation, 632Rabinowitz, 316race track, 421, 424radial configuration, 273radial mode number, 238radial uniformity, 273, 437– beam, of, 437radiation damage, 377radiation damping, 105radiation pattern– characteristics of, 130– directivity of, 130radical, 444Ramsauer, 10, 12Ramsauer effect, 16Ramsauer minimum, 573random phase motion, 106random phase movement, 106random walk, 89, 91, 208, 396Rangelow, 488, 490, 535, 555

Ranjan, 274rapid thermal annealing system, 404rate coefficient, 345, 348, 349, 352rate constant, 460, 480, 481– 2nd order, 456– area-dependent, 459– etching reaction, 458– for momentum transfer, 17– recombination, 458– second order, 458rate-limiting step, 449, 525, 527reaction energy, 466reaction energy, temperature dependence

of, 466reaction rate, 534reaction, heterogeneous, 89, 113reactive ion etching– definition of, 445reactive sputtering, 376– deposition rate, 411– pulsed, 410reactor radius, 459– normalized, 463recombination, 2, 87, 88, 94, 113, 116,

326, 456, 458, 459– rate of, 450recrystallization, 378rectification, across the RF sheath, 154redeposition, 393, 398, 399, 401, 464,

472–475, 555reference electrode, 301, 306, 308–310,

327– potential of, 306reference potential, 300, 310– Langmuir probe, 313reflected power, 142reflected voltage, 142reflected wave, 142reflection, 234– microwaves, of, 66reflection coefficient– Beer’s, 502– Fresnel’s, 501, 502reflectometer, microwave, 340refraction index, 244, 619– complex, 616– imaginary, 615

Page 57: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

726 Register

– imaginary part of, 617– L-wave, 631– modification in a static magnetic field,

631– R-wave, 631– real part of, 617– squared, 632Reinberg, 478relaxation, non-radiative, 351remote plasma, 444remote plasma source, 217residence time, 452, 453, 460resistance term, 192, 638, 640resistive model, 172resonance, 629– angular dependence of, 628– coupled, 236, 246– damping of, 132– L-wave, 631– of ordinary waves, 636– Q-factor, 252– R-wave, 631resonance cone, 634, 636, 652, 656, 658– at cutoff, 634– at resonance, 634– opening angle, 634, 636resonance frequency– definition of, 628– TE-mode, 262resonant cavity, 129resonant charge transfer, cross section,

32retarding field analyzer, 194, 411retarding potential difference, 22retarding zone, 315Reynolds, 316Reynold’s number, 453RF band, 111RF compensation, 310RF current density, 154RF cycle– duration of, 191– period of, 191– phase of, 191RF discharge– asymmetric case, 167, 168– capacitively coupled, 196

– characteristic of, 209– geometrically symmetric, 600– high-frequency range of, 157–160– low-frequency range of, 157–159, 541,

543– magnetron-supported, 425– planar symmetric, 600– symmetric case, 167RF energy, coupling of, 104RF modulation, 194, 200, 201, 212– low-frequency regime, 199RF noise, 310, 331RF sheath, 209– capacitively coupled, 583– quasi-stationary, 193– rectification across, 598, 601– self-consistent, 597RGA, 514RIE, 128RIE lag, 464, 479–481, 484, 488Riemann, 81RIPE, 244RIPE etching, 245RMS field, 107, 109, 251Robinson, 396, 398, 492roll-to-roll coater, 564Rossnagel, 398, 399rotational vibration spectrum, 48, 355roughness-induced mechanism, 400Rundle, 574Rutherford scattering, 381

S-matrix, 341Sabisch, 205saddle profile, 201safe-guard ring, 514Saha equation, 61Salpeter, 23saturation current, 310Savas, 602Sawin, 356, 482, 555scattering– by elastic collisions, 194– by resonant charge transfer, 194– elastic, 12, 202, 203, 208scattering angle, 381scattering mechanism, 190

Page 58: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

Register 727

scattering parameter, 9, 14Scherzer, 84Schottky, 76, 94Schottky barrier, 497Schottky diode, 499Schottky profile, 182, 184, 228, 458Schulz, 22screen grid, 277, 280, 286– aperture diameter of, 282– aperture of, 284– hole diameter of, 281– losses at, 278– sheath of, 280– voltage of, 294screen hole, diameter of, 282screening length, 49Seaward, 548secondary effect, 393, 395secondary electron, 69–71secondary electrons– energy distribution of, 36– flux of, 70– generation of, 6– production of, 71– yield for, 83– yield of, 35–38, 84, 379secondary process, 6Seebock, 575seed atom, 396seed clustering, 396Seeliger, 375SEERS resonance, 336selectivity, 439, 443, 444, 447, 448, 464,

467, 536, 537– chemical, 440– horizontal, 447– vertical, 447Self, 182, 183self bias voltage, 370self diffusion energy, 416self-bias, 452semiconductor, thermal conductivity of,

447series resonance, 140shadowing, 464, 475–477, 487, 555Shaqfeh, 556

sheath, 41, 55, 56, 58, 93, 158, 331, 430,478, 576

– acceleration process across, 591– anisotropic, 575– anodic, 43– area of, 316– at the grounded electrode, 332– at the powered electrode, 332– border of, 578– breathing, 155, 162– built-up, 302– capacitance of, 165, 600– capacitive, 170, 171, 600

rectifying behavior, 596– capacitively coupled, 191– carrier density in, 591– cathodic, 43, 153– characteristic of, 160– clear definition of, 320– collision free, 301– collision number, 196, 204– collisional, 201, 316, 591– collisionless, 187, 198, 203, 246, 267,

314–316, 446, 577, 591, 592, 599– composition of, 512– conduction current, 154, 593

ionic, 157– conduction current density, 157– contraction of, 419– DC, 41– density of ions across, 155– displacement current, 154, 157, 161,

174, 209, 592–594– displacement current density, 157– distribution of carriers across, 600– divergence free, 192– dynamics, 601– electric field, 158, 159, 201– electric field across, 591– electrode, 209– electron, 593– electron boundary of, 155– electronic conduction current, 592– entering, 202– field of, 159, 592– harmonically excited, 597– HF discharge, of, 93

Page 59: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

728 Register

– high-voltage, 166– ion boundary of, 155– ion distribution, 160– ionic conduction current, 592– ionic part, 592– ionization in, 208– isolated electrode, of, 56– low-voltage, 166– one-dimensional model, 161– oscillating, 201, 338– phenomenological introduction of, 57– physical function of, 52– planar, 317– positively charged, 153– potential of, 217– potential theorem

Koenig + Maissel, 165, 451, 602– pulsating, 176, 191, 209– pulsating boundary of, 176, 594– qualitative definition of, 52– rectification across, 154– resistive, 171– RF field across, 592– self-consistent

thickness of, 599– stability criterion, 599– theorem

Koenig + Maissel, 165, 451, 602– thickness, 592, 596–599– thickness of, 219, 317, 322– thinning of, 283– traversing, 190– vicinity of, 577– voltage across, 103– voltage drop, 166sheath boundary, 152, 155, 581, 595– field at, 160– ion density at, 582– potential at, 581sheath capacity, 160Bohm’s, 578sheath current, nonlinear, 342sheath edge, 301sheath equation, 82, 577, 578, 582, 583sheath field, 159, 191, 202, 478, 495, 576sheath heating, 182sheath impedance, 154, 210

– capacitive, 139– nonlinearities of, 209sheath potential, 151–156, 164, 165, 168,

176, 198, 202, 209, 232, 306, 412, 431,487, 526, 575, 599

– at the grounded electrode, 332– at the powered electrode, 332– definition of, 232– for plasma etching, 445– grounded electrode, 165, 167, 209, 232– instantaneous, 207– mean value of, 171, 556– pressure dependence of, 155– RF driven electrode, 165, 167, 168– time dependent, 599– time-averaged, 191sheath resistance, 173, 174sheath theorem– Koenig + Maissel, 165, 451, 602sheath thickness, 44, 153, 158, 160, 166,

176, 179, 181, 187, 191, 196–199, 202,203, 209, 279, 315, 317, 319, 424, 439,446, 451, 491, 554

– at the RF electrode, 386– calculated, 208, 386– capacitive, 338– cold electrode, 335– DC component of, 191– effective, 202– electrically defined, 338– helicon plasmas, in, 246– hot electrode, 335– measured, 386– optically determined, 338– pressure dependence of, 156– reduction of, 421– RF component of, 191– shrinkage of, 446– time-averaged, 199sheath voltage, 163, 439, 578, 582, 583,

602– DC component of, 161, 174, 445, 603– maximum of, 198– rectified, 173– RF component of, 174, 603– RF modulation of, 445sheath voltage, mean, 191, 192

Page 60: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

Register 729

sheath width, 188, 189– optical, 195Sheward, 414shield, 143Shinoki, 512shock wave, 396, 581Shon, 370short-range order, 391shower head, 429, 458, 464, 514, 527, 528Shul, 549shutter, 377sidewall bowing, 464, 482, 484, 555sidewall charging, 482, 483sidewall passivation, 440, 473, 479, 527,

528, 532, 533, 535–537, 542, 550, 551,554–557

– perfect, 556Sigmund, 379, 381, 395Sigmund’s theory, 381, 382, 384similarity rules, 97, 99, 100, 106, 196,

376, 449simulation program, 555single-wafer process, 461sintering, 407– theory of, 387site, 550– active, 463– surface, 463skin depth, 66, 110, 130, 143, 148, 221,

228, 234, 263, 274, 623– electromagnetic waves, 588, 619–622skin effect, 130, 142, 230, 233, 241, 273,

274, 335SLAN, 120, 130, 272sliding short, 130, 261, 263slot, 478, 480– system of, 483small-angle scattering, 84sodium vapor lamp, 1, 42solenoid– plasma source, 244– processing chamber, 244Somekh, 391Sommermeyer, 375Sopori, 474source impedance, 139source resistance, 139

space charge, 75– built up of, 43spectral line– Doppler shift of, 354– line width of, 48– linewidth of, 353– shape of, 353spike, thermal, 398Spitsyn, 433spray discharge, 97sputter cleaning, 392sputter etching, 439, 442, 443, 446sputter reactor, inverted, 442sputtered layers, argon content of, 408,

409sputtering, 128– bipolar, 427– cosine distribution, 375– cross section

energy dependence of, 379– dual magnetron, 427– magnetic materials, 424– potential function for, 379– reactive, 419sputtering coefficient, 384sputtering effect, angular dependent, 399sputtering power, 277sputtering rate, 104, 290, 376, 400, 401,

416, 417, 421, 478, 553– angle dependence of, 488, 556– at rising pressure, 401– energy dependence of, 382– enhancement of, 420– model of, 382– rise of, 420sputtering system, 378sputtering yield, 198, 288, 301, 379–382,

384, 394, 396, 398, 399, 402, 417, 499,526

– angular dependence of, 399– maximum of, 382– pressure dependence of, 401– sublimation energy, dependence on,

384St. Elmo’s fire, 1staircase process, 34Stark, 375

Page 61: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

730 Register

Stark broadening, 302Stark effect, 302stationarity– condition for, 71, 72, 74, 75– equation of, 84Steenbeck, 98step, rate-limiting, 478Sternberg, 161Stevens, 253Stewart, 228Stix, 236stochastic heating, 176, 236, 313, 334,

339, 592stop band– R-wave, 631– X-wave, 630stopping power, nuclear, 382stray capacitance, 147stress– compressive, 390, 431, 437– tensile, 391, 431stress control, 429stress free deposit, 431striation, 42, 43, 67, 86, 414stripping, 29structure– secondary, 396, 398, 400– tertiary, 395stub, 143, 256– broadband, 145substrate bias, 400, 412, 416, 418, 427,

499– composition dependence on, 413– dependence of the deposition rate on,

412substrate biasing, 413–416, 429– density increase by, 414substrate damage, 291, 292substrate plate, 457substrate, unbiased, 264Sugai, 190Surendra, 111, 185surface– coating of, 434– effective, 115– secondary, 400– topography of, 394

surface contamination, 398surface defect, 440surface diffusion, 396, 398, 555surface energy, 381– minimum of, 390surface film, 519surface mobility, 389surface morphology, 393, 547surface oxide, 519surface polymerization, 431surface site, 550surface temperature, 396switch, micromirror-based, 563synergy, betw. phys. and chem. processes,

555Szmytkowski, 18, 348

tapering, 467, 468, 547Tardy, 512target, 146– mosaic, 416– multi-phase, 418– sheath field of, 411– sintered, 416, 417target current– DC component of, 427target voltage, 427Tav, 27TE-mode, 237, 637telegraph equation, 614TEM wave, 143, 637temperature measurement, in-situ, 403tensile stress, at low pressure, 425term of inertia, 638tertiary effect, 395TG wave, 256TG-mode, 657thermal electron emission, 45thermal velocity, electronic, 212thermalization, 59, 326, 386– deficient, 436– ion beam, of, 197thermometry, 403thickness– geometrical, 253– optical, 253Thiele module, 460–462

Page 62: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

Register 731

Thomas-Fermi potential, 51, 380, 381Thompson, B.E., 198, 202, 203Thompson, M.W., 379, 384Thomson, J.J., 29, 132, 375, 387Thonemann, 651Thornton, 387, 389, 390, 425Thornton model, 389three-stub tuner, 145, 256, 265threshold of excitation, 5tilting, 489tilting angle, 488time-of-flight method, 206, 385TM-mode, 237, 261, 637– resonance frequency of, 261Tonks-Langmuir model, 58, 367, 370Townsend discharge, 44Townsend’s– approximation, 69, 72, 85– discharge, 71– equation, 83– ionization coefficient, 70– ionization theory, 70– theory, 83trajectory, ionic, 201, 555transformer, 140– coupling, 140transhalogenization, 538transmission line, 141, 253– velocity of phase propagation, 144transport, diffusion controlled, 386trench, 393, 395, 400, 474trenching, 464, 474, 476, 489, 555trilevel technique, 468, 545, 547triode system, 376, 427triple electrode, 327triple probe, 313Trivelpiece, 237Trivelpiece-Gould mode, 246Trivelpiece-Gould wave, 256, 641, 656,

657, 659Tsong, 379Tsujimoto, 535tungsten blue, 411tungsten bronze, 411tunnel, acceleration, 279two-level process, 347

undercut, 541uniformity– of etching, 485– radial, 446, 513, 514upper limit of, 292upstream control, 452utilization factor, 454UV/VIS level, 86

van-Allen belts, 609Vanderslice, 195, 198, 202vapor deposition– chemical, 428– physical, 428velocity discriminator, 606Vempaire, 369Vender, 592via hole, 483–485vibration spectrum, rotational, 354, 355Vlasov, 587volatility, definition of, 444voltage division, capacitive, 166, 173voltage limit, 139, 142voltage resonance, 132volume polymerization, 430, 431, 550

wafer– backside of, 447– topside of, 447wall potential, 52, 94Wannier, 24wave– cylindrical, 257– helicon, 258– rectangular, 257wave impedance, 617wave riding effect, 177wave surfing, 452wave vector– complex, 616– imaginary, 615, 632waveguide, 145– hollow, 256– Ohmic loss in, 145– radial, 256– range of transmission, 145– rectangular, 145, 256

Page 63: References - link.springer.com3A978-3-540-85849-2%2F… · References [1] W.R. Grove: On the Electro-Chemical Polarity of Gases,Phil. Trans. Roy. Soc. (Lon- don) 142,87−101 (1852)

732 Register

waveguide applicator, 256waveguide transformer, 257waveriding resonance, 591Wehner, 375, 394, 396Wertheimer, 110, 111, 125Westwood, 386, 427Wharton, 624whisker, 398, 399whistler wave, 235, 238, 632, 633– absorption of, 266– absorption, resonant of, 266– group velocity of, 632– highest velocity of, 657– phase velocity of, 234, 632– velocity of, 633whistler waves, 234– atmospheric, 235– low-frequency band of, 253– propagation of, 257– reactor, 245– regime of, 237– threshold of propagation, 235Wiese, 345Wiesemann, 310Wild’s model, 208

Wilson, 394Winkler, 310, 311, 567, 575Winters, 87, 379, 408, 417, 439, 480,

522, 526, 529, 533, 537Wood, 592work function, 35, 38–40, 74, 301Wright, 375

X-wave, 630– cutoff, 630– polarization, 637– propagation band, 630– resonance, 630– stop band, 630X-waves– polarization, 637

yield– electrons, of, 97– ionization, of, 34Yonts, 409

Zapesochnyi, 348Zarowin, 154, 302, 529zero beam, 12, 19