9
Relationship between localized wafer shape changes induced by residual stress and overlay errors Kevin T. Turner Sathish Veeraraghavan Jaydeep K. Sinha Downloaded From: http://nanolithography.spiedigitallibrary.org/ on 08/12/2013 Terms of Use: http://spiedl.org/terms

Relationship between localized wafer shape changes induced by residual stress and overlay errors

  • Upload
    kevin-t

  • View
    220

  • Download
    4

Embed Size (px)

Citation preview

Page 1: Relationship between localized wafer shape changes induced by residual stress and overlay errors

Relationship between localized wafershape changes induced by residualstress and overlay errors

Kevin T. TurnerSathish VeeraraghavanJaydeep K. Sinha

Downloaded From: http://nanolithography.spiedigitallibrary.org/ on 08/12/2013 Terms of Use: http://spiedl.org/terms

Page 2: Relationship between localized wafer shape changes induced by residual stress and overlay errors

Relationship between localized wafer shape changesinduced by residual stress and overlay errors

Kevin T. TurnerUniversity of PennsylvaniaDepartment of Mechanical Engineering

and Applied MechanicsPhiladelphia, Pennsylvania 19104

Sathish VeeraraghavanJaydeep K. SinhaKLA-Tencor CorporationMilpitas, California 95035E-mail: [email protected]

Abstract. The deposition of films with nonuniform residual stress caninduce local changes in wafer shape and contribute to overlay errorswith magnitudes that may be significant in advanced lithographic pattern-ing processes. Understanding the fundamental relationship betweenresidual stress, localized wafer shape changes, and overlay error is crucialfor realizing new schemes to manage overlay errors, particularly atadvanced nodes where feature sizes are smaller. In the present work,finite element modeling is used to quantitatively relate nonuniform residualstress in a deposited thin film to localized wafer shape changes andoverlay errors. The results demonstrate that there is a strong correlationbetween localized shape variations induced by nonuniform residualstresses and noncorrectable overlay errors. © 2012 Society of Photo-OpticalInstrumentation Engineers (SPIE). [DOI: 10.1117/1.JMM.11.1.013001]

Subject terms: lithography overlay; wafer shape; wafer geometry; nonuniform filmresidual stress; higher-order shape; residual overlay.

Paper 11133 received Oct. 3, 2011; revised manuscript received Nov. 28, 2011;accepted for publication Dec. 12, 2011; published online Mar. 21, 2012.

1 IntroductionManaging overlay errors in lithographic patterning processeshas been, and continues to be, a primary challenge in semi-conductor manufacturing. The International TechnologyRoadmap for Semiconductors (ITRS) cites overlay as asignificant challenge for integrated circuits at the 22 nmnode and below. In particular, the roadmap identifies theneed to improve “wafer processing” to meet tighter overlayspecifications, and the desire to improve overlay through theuse of higher-order corrections.1 To realize the requiredimprovements, a fundamental understanding of the sourcesof overlay errors, particularly higher-order (uncorrectable)errors, is essential. Measurable wafer shape changes inducedby residual film stress have been shown to be stronglycorrelated with higher-order overlay errors.2

Overlay error, the relative misalignment between twolithographic patterning steps, can be affected by a varietyof factors, including imperfections in the scanner optics,nonlinearities or drift in the scanner stage, image placementerror on the reticle, substrate/wafer geometry, and waferprocessing variations.3 Some errors can be mitigated bythe scanner, primarily through dose and/or focus corrections,but these corrections have historically been limited to low-order, beginning with linear corrections such as translation,rotation, and magnification. Many modern scanners also nowoffer the opportunity to apply nonlinear corrections. Forexample, ASML has introduced GridMapper, which canapply correction per exposure (CPE) technique to minimizeoverlay errors.4 Nikon offers similar features: super distor-tion matching and grid compensation for matching to reducegrid error and shot error.5 However, residual, uncorrectableerrors remain, with magnitudes that are becoming significantas overlay error budgets drop into the single digits (nm).

Although the reticle and scanner have traditionally beenthe focus of efforts to reduce overlay errors, there is increas-ing emphasis on mitigating the effects of wafer geometry andprocessing steps on overlay errors. The role of film stress-induced distortions in causing uncorrectable overlay errorsis examined in this paper. The primary purpose of thisstudy is to establish the relationship between residual stressand overlay errors, in order to provide an improved quanti-tative understanding of one source of overlay error. Althoughthe focus of this paper is to establish the fundamental con-nection, such a model would be useful in feed-forwardschemes to improve overlay.6 Wafer shape is the deviationof the medial surface of a wafer from a reference plane ina free state.7 Changes in wafer shape can be caused bythe deposition of residually stressed thin films (oxides,poly, metals),8 residual stresses induced during rapid thermalprocessing,9,10 and mechanical damage induced during che-mical mechanical polishing (CMP). Residual stress in depos-ited thin films deforms the wafer causing wafer shapechanges and in-plane (i.e., within the wafer plane) distortion.The in-plane pattern distortion results in overlay errors, someof which may be uncorrectable, and are usually linked tomeasurable changes in wafer shape. In previous work,11

the effect of wafer shape on overlay was examined throughfinite element simulations of the chucking of wafers withdifferent shapes. That work did not address the source ofthe wafer shape. In this work, a more fundamental approachwas adopted by assuming a residual stress distribution in adeposited film, and calculating the wafer shape and overlayerror that was induced by this residual stress. The approachpresented here allows both in-plane and out-of-planedeformations to be accounted for, while also permittingcorrelations between wafer shape and overlay errors to bedeveloped.

The objective of this work was to use mechanics model-ing to investigate the connection between the deposition ofresidually stressed films, wafer shape changes, and overlay0091-3286/2012/$25.00 © 2012 SPIE

J. Micro/Nanolith. MEMS MOEMS 013001-1 Jan–Mar 2012/Vol. 11(1)

J. Micro/Nanolith. MEMS MOEMS 11(1), 013001 (Jan–Mar 2012)

Downloaded From: http://nanolithography.spiedigitallibrary.org/ on 08/12/2013 Terms of Use: http://spiedl.org/terms

Page 3: Relationship between localized wafer shape changes induced by residual stress and overlay errors

errors. Distortions introduced by depositing a film withuniform residual stress on a flat wafer resulted in a parabolicwafer shape. However, nonuniform residual stresses in thefilm (i.e., residual stresses that vary with position acrossthe wafer) or thickness variations of the film may cause com-plex localized wafer shape changes and deformations thatlead to higher-order overlay errors that cannot be compen-sated using linear corrections. To illustrate this relationshipbetween residual stress, wafer shape, and overlay errors, thispaper first presents an analytical model to establish the basicmechanics of the problem, and then a finite element-basedcomputational model to simulate realistic cases. Resultsfrom six test cases are examined using the computationalmodel, and then presented and discussed.

2 Analytical ModelA schematic of how film deposition can result in wafer shapechange and in-plane distortion of features on the wafer patternsurface is shown in Fig. 1. In step 1, a wafer that has free spacecurvature κN is chucked flat in the litho cell and level N linesseparated by distance L are patterned. The wafer is releasedand a thin film with residual stress σNþ1 and thicknesshf ðNþ1Þ is deposited. Assuming that the chuck in the lithogra-phy cell and the deposition chamber are ideally flat, the dis-tance between the patterned lines on the wafer chucked in thedeposition chamber before thin film deposition is L. Whenreleased from the chuck at step 2 after thin film deposition,the wafer assumes a free-space curvature κNþ1; the changein curvature that occurs is related to the internal stress inthe film by the well-known Stoney equation:12

ðκNþ1 − κNÞ ¼ 6σNþ1

E

hf ðNþ1Þh2

; (1)

where E is the biaxial modulus of the wafer and h is the thick-ness of the wafer. The presence of the residually stressed filmdistorts the wafer surface and causes the relative positions ofthe features on the surface to shift,

ΔLNþ1 ¼ ½εBðNþ1Þ þ εSðNþ1Þ�L (2)

whereεBðNþ1Þ is the strainon the surfacedue tobending inducedby σNþ1 and εSðNþ1Þ is the strain due to in-plane stretchinginduced by σNþ1. By considering the equilibrium of thewafer cross-section, and balancing the elastic bending and in-plane stresseswith the residual stress in the film, it is found that:

εSðNþ1Þ ¼ −σNþ1

E

hf ðNþ1Þh

εBðNþ1Þ ¼ −3σNþ1

E

hf ðNþ1Þh

.

(3)

Substituting Eq. (3) into Eq. (2) yields:

ΔLNþ1 ¼ −4σNþ1

E

hf ðNþ1Þh

L. (4)

In most real cases, the residual stress (σNþ1) in the film isunknown and is not directly measured. However, wafer curva-ture can be measured as a proxy for stress using commerciallyavailablemetrology tools. By solving Eq. (1) for σNþ1 and sub-stituting into Eq. (4), the feature distortion can be expressed interms of the measured curvature change,

ΔLNþ1 ¼ −2

3hLðκNþ1 − κNÞ. (5)

In step 3, the wafer is chucked again in a lithography cell forlevelN þ 1 patterning. The distortionΔLNþ1 is not completelyremoved by chucking, the bending distortion term is removed,but the in-plane stretching distortion term remains. Inspectionof Eqs. (2) and (3) show that the distortion of the levelN patterndue to in-plane stretching ΔLsðNþ1Þ that is not removed bychucking is one-fourth of the total distortion for the case of asingle-sided deposition examined here. From this observationand from Eq. (5), the distortion that remains after chuckingthe wafer at the N þ 1 patterning step is obtained:

ΔLsðNþ1Þ ¼1

4ΔLNþ1 ¼ −

hL6ðκNþ1 − κNÞ. (6)

This remaining distortion is the overlay error between thetwo patterning steps if no corrections are applied.

Note that this analytical model demonstrates that evenwhen the wafer is chucked flat during lithographic pattern-ing, distortion due to film deposition remains, as indicated byEq. (6).This distortion remains because chucking can onlyremove the deformation that occurred due to bending ofwafer; it cannot remove in-plane or stretching deformationinduced in the wafer by the residual stress in the thin film.In the case of a wafer with a uniform residually stressed thinfilm, the deformation induced at the pattern surface will havethe form: ur ¼ Ar, where ur is the radial displacement, A is aconstant that depends on the stress-level, film thickness, andwafer properties, and r is the radial coordinate. In this caseof uniform residual stress, the distortion that remains afterchucking can be removed with a simple wafer-level magni-fication correction. However, if the residual stresses varywith position the deformation field’s dependence on positionwill be more complex, and may not be removable withsimple corrections.

3 Finite Element ModelA three-dimensional finite element model of a standard300 mm diameter, 775 μm-thick wafer with a 0.5 μm-thick

Fig. 1 Schematic of effect of film stress on wafer shape and overlayerror.

Turner, Veeraraghavan, and Sinha: Relationship between localized wafer shape changes induced : : :

J. Micro/Nanolith. MEMS MOEMS 013001-2 Jan–Mar 2012/Vol. 11(1)

Downloaded From: http://nanolithography.spiedigitallibrary.org/ on 08/12/2013 Terms of Use: http://spiedl.org/terms

Page 4: Relationship between localized wafer shape changes induced by residual stress and overlay errors

residually stressed film deposited on the front surface wasdeveloped. Finite element analysis allows for the calculationof stresses and strains in complex geometries under variousloading configurations.13 The wafer was assumed to be initi-ally flat and have uniform thickness. The wafer and film wereassumed to be elastic and isotropic, and the average proper-ties of silicon were used: Young’s modulus, E ¼ 150 GPa,and ν ¼ 0.2. The wafer was meshed with 8-node continuumsolid elements and the film was represented using 4-nodeshell elements. The in-plane dimensions of the solid andshell elements were 2 × 2 mm2. The film was meshedusing a single layer of shell elements through the thicknessof the film, and the mesh in the wafer consisted of 4 layers ofelements through the wafer thickness. In total, there were69,392 solid elements in the wafer and 17,348 shell elementsin the wafer. The mesh was developed and solved in thecommercial finite element package ANSYS.14

The simulations performed in the present study using themodel described above were completed in two steps:

1. A residual stresswas introduced in the film and thewaferwas allowed to deform (in free space) due to the appliedresidual stresses. Rigid body translation and rotation ofthe wafer was prevented by constraining the center nodeat the bottomof thewafer in all three directions, and threenodes neighboring the center node in one direction each.Theresidual stresswas introducedbyapplyingaspatiallyvarying residual strain field to the film. The residualstress distributions that were generated are describedbelow. From this model, the out-of-plane deformationand in-plane displacements on the pattern surface wererecorded. The predicted state of thewafer after this simu-lation corresponds to the shape of the wafer after filmdeposition [Fig. 1(c)].

2. In this step, the wafer with the residually stressed filmwas chucked flat. Complete chucking and frictionlesscontact between the wafer and chuck were assumed;thus chucking was simulated by applying displace-ments normal to the surface of the wafer to pull thewafer flat. The displacements were applied only inthe direction normal to the surface; thus, the waferwas free to expand and contract in-plane. This is agood approximation to the real scenario as thewafer was held against the chuck by a large vacuumpressure, and was not constrained meaningfully in thex and y directions. Boundary conditions were applied

at the center and neighboring nodes to prevent rigidbody motion as in step 1. This simulation resultedin a flat wafer, but in-plane distortions (IPD) remain.These in-plane distortions are recorded and corre-spond to the final step shown in Fig. 1(d).

The aim of this work was to assess the connectionbetween nonuniform residual stresses, localized wafer shapechange, and overlay errors; thus, the residual stress fieldswere systematically varied in the simulations. The stressfields were assumed to vary spatially in the plane of the waferas function of x and y according to:

σ0ðx; yÞ ¼ s01x2 þ s02y2 þ s03xyþ s04xþ s05yþ s06; (7)

where σ0 is the stress at a location on the wafer withcoordinates x and y, and s01, s02, s03, s04, s05, and s06 arethe coefficients that define the stress field.

All of the stress fields had a nominal stress of approxi-mately 200 MPa, and the six cases had different levels ofstress nonuniformity. Residual stresses vary dramaticallybetween processes; however, 200 MPa is a reasonablevalue for many processes.7,15 Also, we note that while weare simulating residual stress variations in the model, the pro-duct of stress and film thickness, as evident in Eq. (4), is theimportant quantity for determining the deformation, thus avariation in film thickness would yield similar effects tothe residual stress variations examined. The six residualstress cases used: case 1 is a uniform stress, cases 2 to 4are test cases in which a range of distributions are createdby systematically manipulating the coefficients of Eq. (8),and cases 5 to 6 are defined in the model by Eq. (8) withcoefficients determined by fitting to experimental shape mea-surements from actual wafers. The coefficients of the stressdistribution for the six cases examined are summarized inTable 1, and maps of the stress distributions are shown inthe left column of Fig. 2. These six cases cover a broadrange of stress distributions that may be encountered inactual device wafers.

4 Overlay Corrections and Post ProcessingTo assess the higher-order overlay errors that are inducedby film stresses, standard linear overlay corrections wereapplied to the raw overlay (the difference of in-plane displa-cements between layer N and layer N þ 1) predicted by finiteelement analysis. Linear inter-field (wafer-level) corrections

Table 1 Summary of coefficients for stress distributions.

Case s01(10−4 MPa∕mm2) s02(10−4 MPa∕mm2) s03(10−4 MPa∕mm2) s04(10−2 MPa∕mm) s05(10−2 MPa∕mm) s06(MPa)

1 0.000 0.000 0.000 0.000 0.000 200.000

2 2.222 4.444 4.444 0.000 0.000 200.000

3 2.222 4.444 4.444 3.333 −6.667 200.000

4 4.444 0.000 0.000 −6.667 0.000 200.000

5 −5.972 −5.667 1.141 −1.008 0.208 199.641

6 −2.822 −2.955 2.028 −0.010 −0.0236 199.852

Turner, Veeraraghavan, and Sinha: Relationship between localized wafer shape changes induced : : :

J. Micro/Nanolith. MEMS MOEMS 013001-3 Jan–Mar 2012/Vol. 11(1)

Downloaded From: http://nanolithography.spiedigitallibrary.org/ on 08/12/2013 Terms of Use: http://spiedl.org/terms

Page 5: Relationship between localized wafer shape changes induced by residual stress and overlay errors

Fig. 2 Maps of residual stress distribution, wafer shape due to film stress, and residual shape after removal of second-order fit for the six casesconsidered in this work.

Turner, Veeraraghavan, and Sinha: Relationship between localized wafer shape changes induced : : :

J. Micro/Nanolith. MEMS MOEMS 013001-4 Jan–Mar 2012/Vol. 11(1)

Downloaded From: http://nanolithography.spiedigitallibrary.org/ on 08/12/2013 Terms of Use: http://spiedl.org/terms

Page 6: Relationship between localized wafer shape changes induced by residual stress and overlay errors

were applied, followed by linear intra-field (field-level)corrections. The result of applying linear inter-field andintra-field corrections is a 10-parameter model.3,16–19 Thismodel is well-established, and used for linear correctionsin many step and scan scanner systems. The total inter-field corrections, dxinter and dyinter, and total intra-fieldcorrections, dxintra and dyintra, are:

dxinter ¼ Δx − Δθx · yþMx · x

dyinter ¼ Δyþ Δθy · xþMy · y

dxintra ¼ −Δθxf · Y þMxf · X

dyintra ¼ Δθyf · X þMyf · Y

(8)

There are six inter-field correction parameters: Δx, Δy, Δθx,Δθy, Mx, and My, corresponding to translation, rotation,and magnification in the x and y directions at the wafer

level and four intra-field correction parameters: Δθxf ,Δθyf , Mxf , and Myf , corresponding to rotation and magnifi-cation in x and y directions at the field level. The coordinatesof the alignment targets with regard to the wafer center arex and y (for inter-field correction), and the coordinates ofthe alignment targets with regard to the exposure field centerare X and Y (for intra-field correction).We assumed fieldswith dimensions of 12 mm in x and 14 mm in y, with nooffset in the x and y direction with regard to the center ofthe wafer, similar to that reported in Ref. 20. A total of17 alignment targets were distributed within each field.The targets have a periodicity of 2 mm in the x directionand a spacing of 4 mm and 6 mm between the targets inthe y direction. All fields were used in the overlay calcula-tions. The correction parameters in Eq. (9) are calculatedthrough a least squares minimization scheme across all tar-gets, and then a single set of corrections was applied acrossthe wafer.

Case 1 Case 2

Case 3 Case 4

Case 5 Case 6

Fig. 3 Overlay errors induced by the deposition of residually stressed films for each of the six stress distributions. Overlay errors are averagedfor each field and plotted at the center of each filed. In all plots, the size of the arrows represents the magnitude of the overlay error and thecorresponding scale bar (lower right of each plot) is 3 nm.

Turner, Veeraraghavan, and Sinha: Relationship between localized wafer shape changes induced : : :

J. Micro/Nanolith. MEMS MOEMS 013001-5 Jan–Mar 2012/Vol. 11(1)

Downloaded From: http://nanolithography.spiedigitallibrary.org/ on 08/12/2013 Terms of Use: http://spiedl.org/terms

Page 7: Relationship between localized wafer shape changes induced by residual stress and overlay errors

5 Results and DiscussionFigure 2 summarizes the six cases examined and shows mapsof the residual stress, predicted wafer shapes due to thestressed film, and the residual wafer shapes after a second-order fit (i.e., Ar2 þ Br þ C) is removed. A second ordershape results in linear in-plane distortions that can be cor-rected as explained in Sec. 2. As a uniform stress fieldwill lead to a wafer with a pure parabolic shape, the residualplots provide a measure of the wafer shape induced by stressnonuniformity. In general, the results in Fig. 2 show that theresidual shape increases with increasing stress nonunifor-mity. The residual shape maps for the cases with nonuniformresidual stress (cases 2 to 6) have peak-to-valley ranges fromapproximately 0.4 to 3 μm. Shape variations within thisrange can easily be measured with a modern wafer geometrymetrology tool. For example, KLA-Tencor’s WaferSight 2has a precision in the order of subnanometers in the height

Case 1 Case 2

Case 3 Case 4

Case 5 Case 6

Fig. 4 Overlay errors induced by the deposition of residually stressed films for each of the six stress distributions calculated at every target in eachfield. In all plots, the size of arrows represents the magnitude of the overlay error and the corresponding scale bar (lower right of each plot) is 3 nm.

Table 2 Summary of curvatures and stress values calculatedusing the Stoney equation.

Case κ (10−3 m−1) σ (MPa)

1 5.31 199.5

2 5.42 203.4

3 5.42 203.4

4 5.38 202.1

5 5.12 192.4

6 5.22 196.0

Turner, Veeraraghavan, and Sinha: Relationship between localized wafer shape changes induced : : :

J. Micro/Nanolith. MEMS MOEMS 013001-6 Jan–Mar 2012/Vol. 11(1)

Downloaded From: http://nanolithography.spiedigitallibrary.org/ on 08/12/2013 Terms of Use: http://spiedl.org/terms

Page 8: Relationship between localized wafer shape changes induced by residual stress and overlay errors

domain, and a pixel resolution of 0.2 mm in the x and yCartesian space.21

Note that the overall shape maps (Fig. 2, center column)do not look significantly different for the different film stressdistributions. This is because shape change from the uniformstress component dominates the overall shape. This illus-trates that stress nonuniformity may not be readily visiblein shape data, and that shape data must be appropriately pro-cessed (e.g., by removing a second-order fit as done here) toreveal the presence of nonuniform residual stress. If a singlevalue of curvature is extracted from the overall shapemeasurements for each of the six cases examined, the cur-vature in all cases corresponds to a stress of approximately200 MPa as calculated by the Stoney equation [Eq. (1)].Thebest-fit curvature for each case and the stresses calculatedbased on this curvature using Eq. (1) are summarized inTable 2.

The overlay residuals after application of the correctionsfor the six cases averaged per field are summarized in Fig. 3,and overlay residuals for the six cases at each target aresummarized in Fig. 4. First, we note that for the uniformcase (case 1) the overlay errors after correction were verysmall. This was expected based on the simple mechanicsarguments presented in Sec. 2. In the other five cases, astrong connection between uncorrectable overlay errorsand nonuniform residual stress was observed. The waferswith greater stress nonuniformity show larger uncorrectableoverlay errors. Also, by comparing the results in Figs. 2and 3, it is clear that, in general, there were large overlayerrors in the regions of the wafers with high residualshape. For example, in case 6, significant overlay residualswere present at the top and bottom edge of the wafer (Fig. 3),and large residual shape was also observed in these areas(Fig. 2).

Figure 5 summarizes the uncorrected and corrected over-lay errors (maximum values) in the x and y directions for thesix cases. The magnitudes of the uncorrected overlay errorsdid not vary significantly between the six different cases.This is because the uncorrected values were dominated bythe overall shape of the wafer that was controlled by the aver-age residual stress in the film, which was approximately200 MPa for all six cases. However, after correction there

was substantially more variation in the overlay magnitudeamong the different cases. This was because linear correc-tions cannot remove errors resulting from nonuniform resi-dual stresses, and because the degree of nonuniform residualstress varied considerably over the six cases presented. Notethat although maximum values of overlay can be useful, theyare somewhat limited in the information they providebecause they represent the overlay residual of an entirewafer as the value of the overlay residual at one point.Thus, the 3σ values of the overlay residual values werealso calculated, and are reported in Fig. 6.

Figure 6 shows the correlation between higher-order(uncorrectable) overlay error and the residual shape (theshape after removal of second-order fit).The 3σ overlayerror was calculated in x and y for each wafer from thecalculated errors at all of the targets on the wafer. These3σ values for each wafer were compared with the peak-to-valley values of residual shape for that wafer. The linearfit and R2 values were based on the twelve 3σ values shown(six in x and six in y). There is a strong positive correlationbetween these overlay errors and the peak-to-valley valuesof residual shape. This is not surprising as the results shownin Fig. 2 demonstrate the connection between the residualshape and nonuniform residual stress and the results inFig. 3 establish the connection between nonuniform resi-dual stress and overlay error. The strong positive correlationsuggests that wafer shape measurements can be used toidentify wafers that are probable candidates for significantoverlay errors as result of the deposition of a residuallystressed film.

This study was limited to the use of linear overlay correc-tion schemes. The 10-parameter model used [Eq. (9)] tocalculate the overlay residuals is common and employedin many scanner systems today. This model was chosenbecause it is well-established and its implementation iswell-described in the literature. Newer scanner systems

Fig. 5 The maximum overlay errors in x and y for each case beforeand after corrections. Note the vertical axis of the plot is a log scale.Before correction, the overlay errors for all cases are similar andapproximately 100 nm. All cases are similar because the globalcurvature dominates the overlay error before correction. Aftercorrection, the overlay errors are substantially less and there ismore significant variation among the six cases.

Fig. 6 The3σ overlay error in x and y as a function of thepeak-to-valley of the shape residuals (Fig. 2, column 3). There isa strong positive correlation between the overlay errors and thepeak-to-valley value of the shape residual. The R2 value of the fitis 0.992.

Turner, Veeraraghavan, and Sinha: Relationship between localized wafer shape changes induced : : :

J. Micro/Nanolith. MEMS MOEMS 013001-7 Jan–Mar 2012/Vol. 11(1)

Downloaded From: http://nanolithography.spiedigitallibrary.org/ on 08/12/2013 Terms of Use: http://spiedl.org/terms

Page 9: Relationship between localized wafer shape changes induced by residual stress and overlay errors

often allow for nonlinear correction models to be applied tofurther reduce residuals. These higher-order overlay modelsmay very well reduce the stress induced overlay residualsdescribed here, but the benefit of the nonlinear correctionmodels will depend on the exact correction model, as wellas the nature of the residual stress nonuniformities. The uti-lity of the nonlinear correction schemes in reducing stressinduced overlay errors is important, but beyond the scopeof this work.

6 ConclusionUsing finite element modeling, a connection between non-uniform residual stresses in thin films, localized wafershape changes, and overlay errors has been demonstrated.Specifically, nonuniform residual stresses that cause higher-order shape variations lead to overlay distortions that cannotbe corrected through the typical linear correction schemesused in many lithographic scanner systems today. Theresults show that higher-order wafer shape features correlatestrongly with noncorrectable overlay errors (Fig. 6). Thisresult suggests that wafer shape measurements can beused to identify wafers that are likely to show large uncor-rectable overlay errors, prior to patterning. This informationcan be used to sort wafers, provide feedback on depositionprocesses, and as input for feed-forward correction schemes.

References

1. ITRS Roadmap 2009. Available at: http://www.itrs.net/links/2009ITRS/Home2009.htm (2009).

2. V. R. Nagaswami et al., “DPL overlay components,” in 6th InternationalSymposium on Immersion Lithography Extensions, Prague (2009).

3. H. J. Levinson, Principles of Lithography, SPIE Press, Bellingham,Washington (2001).

4. A. Gabor et al., “The GridMapperchallenge: how to integrate intomanufacturing for reduced overlay error,” Proc. SPIE 7640, 39–45(2010).

5. Y. Ishii et al., “Improving scanner productivity and control throughinnovative connectivity applications,” Proc. SPIE 6152, 615247 (2006).

6. M. Adel et al., “Feedforward/feedback lithoprocess control of stress andoverlay,” US Patent 2008/151083/0316442 A1 (2008).

7. SEMI-M49-0307, “Guide for specifying geometry measurementsystems for silicon wafers for the 130 nm to 65 nm technology genera-tions,” available through www.semi.org (published 2007).

8. K. J. Nordquist et al., “Image placements issues for ITO-based stepand flash imprint lithography templates,” J. Vac. Sci. Technol. B22(2), 695–701 (2004).

9. J. F. Buller, M. M. Farahani, and S. Garg, “Manufacturing issuesrelated to RTP induced overlay errors in a global alignment steppertechnology,” IEEE Trans. Semiconductor Manufacturing 9(1), 108–114(1996).

10. D. L. Chapek et al., “Correlation between thermal stress and theperformance of devices processed by RTP,” in Proc.3rd InternationalRapid Thermal Processing Conf., p. 281, Amsterdam, Netherlands(1995).

11. K. T. Turner, S. Veeraraghavan, and J. K. Sinha, “Predicting distortionsand overlay errors due to wafer deformation during chucking onlithography scanners,” J. Micro/Nanolith. MEMS MOEMS 8(4),043015 (2010).

12. L. B. Freund and S. Suresh, Thin Film Materials: Stress DefectFormation and Surface Evolution, Cambridge University Press,Cambridge, England (2003).

13. K. J. Bathe, Finite Element Procedures, Prentice Hall, Upper SaddleRiver, New Jersey (2006).

14. ANSYS, v.11.0 http://www.ansys.com, Canonsburg, Pennsylvania(2010).

15. M. Ohring, Materials Science of Thin Films, 2nd ed., Academic Press,Waltham, Massachusetts (2002).

16. J. D. Armitrage, Jr. and J. P. Kirk, “Analysis of overlay distortionpatterns,” Proc. SPIE 921, 207–221 (1988).

17. D. S. Perloff, “A four-point electrical measurement technique forcharacterizing mask superposition errors on semiconductor wafers,”IEEE J. Solid State Circuits 13(4), 436–444 (1978).

18. T. F. Hasan, S. U. Katzman, and D. S. Perloff, “Automated elec-trical measurements of registration errors in step-and-repeat opticallithography systems,” IEEE Trans. Electron. Dev. 27(12), 2304–2312(1980).

19. C. Chien et al., “Overlay error model, sampling strategy and associatedequipment for implementation,” US Patent 6975974 (2001).

20. C. Koay et al., “Towards manufacturing of advanced logic devices bydouble patterning,” Proc. SPIE 7973, 79730F (2011).

21. K. Freischlad, S. Tang, and J. Grenfell, “Interferometry for waferdimensional metrology,” Proc. SPIE 6672, 667202 (2007).

Kevin T. Turner is an associate professorof mechanical engineering and appliedmechanics at the University of Pennsylvania.Prior to joining the University of Pennsylvaniain August 2011, he was on the faculty of theDepartment of Mechanical Engineering atthe University of Wisconsin—Madison for sixyears. He received a BS degree in mechan-ical engineering from the Johns HopkinsUniversity, Baltimore, Maryland, in 1999,and SM and PhD degrees in mechanical

engineering from the Massachusetts Institute of Technology (MIT),Cambridge, Massachusetts in 2001 and 2004, respectively. Hisprimary research interests are related to manufacturing and mech-anics issues in micro and nanoscale systems. His research spansmultiple topics including wafer bonding, tip-based nanomanufac-turing, microfluidics, and transfer and integration of semiconductornanomembranes.

Sathish Veeraraghavan received hisBTech. degree in mechanical engineeringfrom the Indian Institute of Technology (IIT),Madras in 2005, and his MS degree inmechanical engineering from University ofWisconsin, Madison, in 2008. He was anapplications development engineer in theWafer Inspection Group, KLA-Tencor Corp.from 2008 to 2010. Currently he is anadvanced technology engineer with a pri-mary focus to develop novel metrology

metrics for future semiconductor technology nodes, and to applycomputational mechanics to show impact of wafer geometry onsemiconductor processes.

Jaydeep K. Sinha received his PhD degreein mechanical engineering from AuburnUniversity. He also holds MS degrees in phy-sics, mechanical engineering, and technol-ogy management. He was chief scientistfor ADE Corporation from 2004 to 2007.He currently is with KLA-Tencor Surfscan—ADE division as a dimensional metrology lea-der. His primary interest is semiconductormetrology and he has been involved in thisfield for more than 14 years.

Turner, Veeraraghavan, and Sinha: Relationship between localized wafer shape changes induced : : :

J. Micro/Nanolith. MEMS MOEMS 013001-8 Jan–Mar 2012/Vol. 11(1)

Downloaded From: http://nanolithography.spiedigitallibrary.org/ on 08/12/2013 Terms of Use: http://spiedl.org/terms