78
Simulation and Compensation Methods for EUV Lithography Masks with Buried Defects by Chris Heinz Clifford A dissertation submitted in partial satisfaction of the requirements for the degree of Doctor of Philosophy in Engineering - Electrical Engineering and Computer Sciences in the Graduate Division of the University of California, Berkeley Committee in charge: Professor Andrew R. Neureuther Professor Costas J. Spanos Professor Xiang Zhang Spring 2010

Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

  • Upload
    others

  • View
    4

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

Simulation and Compensation Methods for EUV Lithography Masks with Buried Defects

by

Chris Heinz Clifford

A dissertation submitted in partial satisfaction of the requirements for the degree of

Doctor of Philosophy

in

Engineering - Electrical Engineering and Computer Sciences

in the

Graduate Division

of the

University of California, Berkeley

Committee in charge:

Professor Andrew R. Neureuther

Professor Costas J. Spanos

Professor Xiang Zhang

Spring 2010

Page 2: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

Simulation and Compensation Methods for EUV Lithography Masks with Buried Defects

Copyright © 2010

by

Chris Heinz Clifford

All rights reserved

Page 3: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

1

Abstract

Simulation and Compensation Methods for EUV Lithography Masks with Buried Defects

by

Chris Heinz Clifford

Doctor of Philosophy in Engineering - Electrical Engineering and Computer Sciences

University of California, Berkeley

Professor Andrew R. Neureuther, Chair

This dissertation describes the development and application of a new simulator,

RADICAL, which can accurately simulate the electromagnetic interaction in extreme ultraviolet

(EUV) lithography at a wavelength of 13.5nm between the mask absorber features and a buried

multilayer defect three orders of magnitude faster than rigorous methods. RADICAL achieves

this performance by using simulation and modeling methods designed specifically for the

individual EUV mask components simulated. The nonplanar nature of a multilayer coated

buried defect can be simulated using a ray tracing method developed by Michael Lam, or the

faster advanced single surface approximation (SSA) for shorter defects with more uniform layers

below the mask surface, and the absorber is modeled using a propagated thin mask model which

efficiently accounts for the thickness of the absorber material. The multilayer and absorber

simulation results are linked by a Fourier transform which converts the electric field output by

one simulator into a set of plane waves for the next.

As a new form of projection lithography technology, EUV is fundamentally different than

current technologies and therefore requires new methods for mask analysis, inspection and

compensation. At the 13.5nm wavelength, all materials have a refractive index of around unity

and are absorptive. This means that EUV masks must be reflective multilayers. Understanding

the electromagnetic response of these new masks, specifically the effects of multilayer defects

requires new simulation methods, such as RADICAL.

The accuracy and speed of RADICAL has been verified by comparisons with rigorous

finite difference time domain (FDTD) simulations, rigorous waveguide method simulations, and

actinic inspection experiments provided by Lawrence Berkeley National Laboratory (LBNL) and

Intel. RADICAL matches the critical dimension (CD) predicted by FDTD within 1nm for

defects up to 2.5nm tall on the multilayer surface. It matches actinic inspection results, within

the error of the experiment, for defects up to 6.5nm tall on the surface. This accuracy is

acceptable because the EUV defects expected in production lithography are expected be 2nm tall

or less. RADICAL is typically about 1,000 times faster than FDTD for a single simulation of a

two-dimensional absorber pattern. But, RADICAL’s modular design allows the re-use of

multilayer simulation results so subsequent simulations of different patterns over the same defect

geometry take a small fraction of the time of the first simulation.

RADICAL has been applied to many important issues in EUV lithography. It was used to

advance the understanding of isolated defects by showing that they are primarily phase defects,

because they cause an inversion in aerial image intensity through focus, but cannot simply be

Page 4: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

2

modeled by a thin mask defect with a uniform phase. This means EUV buried defects must be

treated differently than the phase defects encountered in conventional optical lithography masks.

Experimental images of isolated defects were used to extract large aberrations from the

state of the art Actinic Inspection Tool (AIT) at LBNL. This novel method for aberration

extraction required only the comparison of the center intensity of an isolated defect image

through focus between RADICAL and the AIT images. This work resulted in a deeper

understanding of the AIT tool, which led to improvements of the tool by the team at LBNL,

which have benefited the entire industry.

The critical issue of the printability of buried defects near features and its dependence on

illumination in future production tools is addressed by a thorough investigation of the resulting

aerial images and CD change for defects near 22nm and 16nm features. The effect of the

position, size and shape of the defect is explored. The printability of a buried defect is very

dependent on its position relative to the absorber features and the worst case position depends on

the defect size. Also, defects only a few nanometers tall that are covered by the absorber can still

cause an unacceptable CD change. The effects of illumination are also investigated to show that

the improved image slope produced by advanced off-axis illuminations reduces the printability

of buried defects in focus, but through focus the area affected by a buried defect is much larger

for dipole and annular illuminations than it is for tophat.

The final topic of this dissertation is defect compensation. Two methods are proposed to

reduce the effects of a buried defect by adjusting the absorber pattern. The first employs pre-

calculated design curves to prescribe absorber modifications based only on the CD change

caused by the defect. This method successfully compensates for the defect in focus, but the

defect still causes a CD change through focus. To reduce the effect of the defect through focus,

absorber is used to cover the defect and block the out of phase light from the defect. This

covering produces some improvement in through focus printability and works best for defects

which have a narrower surface geometry after smoothing.

Page 5: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

i

Dedicated to my Mom, Dad, Clare and Jaime for always having confidence in me

Page 6: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

ii

Acknowledgements Looking back over my graduate school career, the most important person to acknowledge

is my advisor Andy Neureuther. He provided me with everything you could ask for from an

advisor. He was always there when I needed help with anything from a difficult research

problem to a confusing bureaucratic procedure. He made sure that I was doing the work that

needed to get done, but understood that there was a lot more to graduate school than publishing

papers.

Over the course of my graduate career I was lucky enough to travel all over the world to

present my research and listen to others present their research. I was able to do this because of

the generous support of Intel, who funded my entire graduate school career. Ted Liang at Intel

was not just sponsor, but a technical mentor and friend.

When I was starting this research project I knew very little about lithography, which made

doing new research difficult. Luckily, Michael Lam spent many hours explaining his Ph.D. work

and broader lithography concepts to me. His help saved me months of frustration and I would

not have been able to do a lot of the work in this dissertation without it.

I enjoyed working with and learning from the other students in Andy’s group who

graduated while I was in Berkeley: Greg McIntyre, Wojciech Poppe, and Dan Ceperley. Dan

was an especially good friend and I would not have been able to do any of the FDTD simulations

in this dissertation without him. He was also the founder of Photobears, the student group for

SPIE and OSA at Berkeley.

There are four students who were in Andy’s group with me for my entire time in graduate

school: Juliet Rubinstein, Eric Chin, Lynn Wang, and Marshal Miller. I did not collaborate on

research projects with them very often, but I was lucky to have all of them for help on everything

from studying for the preliminary exam to finding cheap transatlantic flights.

In 2008 we were lucky to have Kenji Yamazoe, a visiting scholar from Canon, join our

group. He is a kind and fun person who is also one of the top experts in the world on

computational methods for calculating a lithographic image. His help was invaluable to me,

especially as I prepared for my qualifying exam.

The University of California – Berkeley is located just down the hill from Lawrence

Berkeley National Lab (LBNL). LBNL has many top researchers in EUV lithography. I was

lucky enough to work closely with two of them, Ken Goldberg and Iacopo Mochi. A large

portion of this dissertation would not have been possible without their help.

Throughout my time in graduate school I could always count on the support of my family

and girlfriend Jaime. My family was always a phone call away if I needed advice or someone to

talk to. Being with Jaime, I always looked forward to coming home at the end of the day. Most

of the fun I had as a graduate student was with her. Everywhere from the pool at the Laurels in

San Jose to the top of the Eiffel Tower, we had fun together and I am lucky to have her in my

life.

Page 7: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

iii

Table of Contents 1 Introduction .................................................................................................................. 1

1.1 Motivation ............................................................................................................. 1

1.2 Dissertation Content and Contributions ................................................................ 2

2 EUV Lithography ......................................................................................................... 5

2.1 Lithography for Semiconductor Manufacturing ................................................... 5

2.1.1 Illumination ...................................................................................................... 6

2.1.2 Photomask ........................................................................................................ 7

2.1.3 Projection Optics .............................................................................................. 7

2.2 Lithography with Extreme Ultraviolet Light ........................................................ 8

2.2.1 Illumination for EUV Lithography .................................................................. 8

2.2.2 Photomask for EUV Lithography .................................................................... 9

2.2.3 Projection Optics for EUV Lithography ........................................................ 10

2.3 Current issues in EUV Lithography Development ............................................. 10

2.3.1 Source ............................................................................................................ 10

2.3.2 Photoresist ...................................................................................................... 10

2.3.3 Mask ............................................................................................................... 11

2.4 Existing EUV Lithography Tools ....................................................................... 12

2.4.1 Full Field Scanners ........................................................................................ 12

2.4.2 Micro Exposure Tools.................................................................................... 12

2.4.3 Actinic Inspection Tools ................................................................................ 12

2.4.4 Programmed Defect Mask (PDM) ................................................................. 13

2.5 Summary ............................................................................................................. 14

3 Fast Simulation Method for EUV Masks with Buried Defects .................................. 15

3.1 History of EUV Mask Simulation ....................................................................... 15

3.2 RADICAL ........................................................................................................... 16

3.2.1 Multilayer Simulation .................................................................................... 17

3.2.2 Absorber Simulation ...................................................................................... 18

3.2.3 Accuracy of RADICAL ................................................................................. 19

3.2.4 Computational Requirements of RADICAL ................................................. 23

3.3 Summary ............................................................................................................. 25

4 Printability of Isolated Defects ................................................................................... 26

4.1 Phase Nature of Defects ...................................................................................... 26

4.2 Simple Model for Isolated Defects...................................................................... 27

4.2.1 Introduction to Smoothing ............................................................................. 27

4.2.2 Analysis of Smoothing ................................................................................... 29

4.2.3 Algebraic Isolated Defect Model ................................................................... 33

Page 8: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

iv

4.2.4 Tuned Single Surface Approximation ........................................................... 34

4.3 Effects of Illumination ........................................................................................ 36

4.4 Mask blank inspection ......................................................................................... 37

4.5 Isolated Defect Experiments ............................................................................... 38

4.6 Summary ............................................................................................................. 40

5 Using Isolated Buried Defects to Extract Aberrations ............................................... 41

5.1 Astigmatism ........................................................................................................ 42

5.2 Spherical .............................................................................................................. 43

5.3 Coma ................................................................................................................... 44

5.4 Limitations of Method ......................................................................................... 45

5.5 Summary ............................................................................................................. 45

6 Printability of Defects Near Features ......................................................................... 46

6.1 Effects of Defect Size .......................................................................................... 46

6.2 Effects of Defect Position ................................................................................... 47

6.3 Effects of Focus ................................................................................................... 48

6.4 Effects of Illumination ........................................................................................ 49

6.5 Experimental Images of Defects Near Features .................................................. 51

6.5.1 Printability as a Function of Defect Size ....................................................... 51

6.5.2 Printability of Covered Defects ..................................................................... 54

6.5.3 Printability Through Focus ............................................................................ 55

6.6 Summary ............................................................................................................. 56

7 Compensation Methods for Buried Defects ............................................................... 57

7.1 Compensation with Design Curves ..................................................................... 57

7.1.1 Development of Design Curves ..................................................................... 58

7.1.2 Example of Compensation with Design Curves ............................................ 60

7.2 Compensation by Defect Covering ..................................................................... 61

7.2.1 Example of Compensation by Defect Covering ............................................ 61

7.2.2 Example of Compensation by Defect Covering for a Narrower Defect ........ 63

7.3 Compensation of Buried Pits............................................................................... 64

7.4 Summary ............................................................................................................. 65

8 Conclusion .................................................................................................................. 66

9 References .................................................................................................................. 68

Page 9: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

1

1 Introduction 1.1 Motivation

In 1965, Intel founder Gordon Moore observed that the number of components that could

be fabricated into a single integrated circuit for a fixed cost had approximately been doubling

each year, and he predicted this rate of development would continue. It turned out that this

prediction has been pretty accurate, and the continuing exponential rate of growth is referred to

as Moore’s Law. Maintaining this rate of growth has required constant and coordinated hard

work, innovation, and investment. The most critical technology for maintaining this growth is

lithography. Current ArF lithography technology is reaching its limits and the lithography

community is working hard to replace it. The leading contender to replace ArF lithography,

which uses 193nm light, is extreme ultraviolet (EUV) lithography, which uses 13.5nm light.

Unfortunately for the industry EUV lithography, the topic of this dissertation, faces many

challenges. Currently the primary challenge is EUV mask defectivity.

Lithography transfers the mask pattern to the wafer; therefore a fundamental assumption of

lithography is that the pattern on the mask is correct. If this is not the case, and there is a defect

on the mask, it could be transferred to the wafer resulting in a useless integrated circuit. Luckily,

not all mask defects cause unacceptable changes to the wafer pattern. Assuming any

imperfection on the mask will result in a non-working circuit would make mask fabrication

impossible. In reality the size, shape, location and material properties of a defect determine

whether or not the defect will actually be a problem. Understanding EUV mask defects is made

more complex because at the EUV wavelength low material contrast requires additive reflections

from many layers stacked to form a multilayer to achieve adequate mask reflectivity. The local

reflectivity is vulnerable to defects buried in the multilayer. This type of defect, referred to as a

buried defect, is unique to EUV. Studies to understand the interactions of all of a defect’s

characteristics with mask features must be performed well in advance of production equipment

availability. Because production equipment is not available for these studies, simulation is

necessary to produce the needed information during the technology development process.

This dissertation describes the development and application of a new simulator,

RADICAL, which can accurately simulate the interaction of mask features and a buried

multilayer defect three orders of magnitude faster than rigorous methods. RADICAL achieves

this performance by using simulation and modeling methods designed specifically for the

individual EUV mask components to be simulated. One of the components, developed by

Michael Lam in his Ph.D. thesis, is a ray tracing simulator to predict the scattering from a

multilayer with a buried defect. The other is a propagated thin mask model to predict the

diffracted light produced by a plane wave incident on an arbitrary absorber pattern. Predicting

this diffraction required the careful study of rigorous simulation results to correctly model the

effects of the absorber thickness and edges on the magnitude and phase of the diffracted orders.

This modular design allows multilayer simulation outputs to be re-used so that multiple absorber

patterns over the same buried defect geometry can be simulated rapidly.

RADICAL is configured to simulate a wide assortment of EUV defects and mask patterns.

RADICAL determines the nearfields just above a reflective mask for an incident plane wave at

an arbitrary angle of incidence. The diffraction spectrum from these fields can then be input into

Page 10: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

2

a commercial aerial image software package. In this dissertation, Panoramic EM-Suite is used to

determine the aerial image at the wafer including illumination, demagnification, defocus and

aberrations.

Currently, EUV mask blank manufacturers are unable to produce a defect free multilayer.

In fact, the industry is struggling to even define which blank imperfections are tolerable, and

which are unacceptable defects. RADICAL has provided important new physical understanding

and quantitative data to assist with these industry decisions. Current EUV exposure tools are

also not yet at production quality. RADICAL simulations have been compared to experimental

results from the Actinic Inspection Tool (AIT) at Lawrence Berkeley National Laboratory

(LBNL) to give insights on both the characteristics of the mask defects and the tool itself.

Another application of RADICAL has been to explore compensation methods for buried defects

in EUV masks. The goal of this compensation work is to adjust the absorber pattern near

unacceptable defects such that the image transferred to the wafer does not show the effects of the

defect.

It is important to note that EUV technology continually evolved as the work for this

dissertation was performed. This has been exciting because there has been a lot of interest from

industry in this work and it has been rewarding to play a role in the technology evolution.

Nonetheless, focusing on the most relevant issues and finding reliable results to compare with

has been a challenge. Initially, there was limited experimental data to compare to, and the data

that was available used tools that were under development themselves. For example, the quality

of the AIT tool changed considerably making each comparison a challenging new calibration.

Also, the multilayer deposition process varied significantly over time and between

manufacturers, making it challenging to interpret and compare to results in the literature. Thus,

the goal of this dissertation was to study the most difficult simulation problems whenever

possible. Even if the geometries studied in this work are not identical to what will be used in

EUV production, the methods developed here will be able to quickly and accurately simulate the

effects of future defects.

1.2 Dissertation Content and Contributions

This dissertation begins by describing the basics of a generic projection lithography

system. Following that are the details of extreme ultraviolet (EUV) lithography with a focus on

what makes the technology so difficult to implement. The final section of Chapter 2 describes

the current state of EUV lithography research tools with special attention given to The Actinic

Inspection Tool (AIT) at Lawrence Berkeley National Lab. AIT inspection images will be

compared to RADICAL simulation results several times in this dissertation.

Chapter 3 summarizes the history of defective EUV mask simulation. Because of the

complex geometry, simulating an EUV mask with a buried defect is computationally intensive

using rigorous simulation methods. There have been many attempts to reduce the computational

requirements of defective EUV mask simulation, but none have been fast and accurate enough

for detailed, reliable studies of EUV defect printability and compensation. After this historical

context is provided, the new simulator RADICAL is introduced and its design, computational

requirements and accuracy are described in detail. RADICAL is by far the most important

contribution of this dissertation. It is 1,000 times faster than FDTD for simulating defects within

a line space pattern and uses two orders of magnitude less memory. In the process of studying

the sources of error from the various components of RADICAL, it was realized that if a simple

top surface model, called the single surface approximation (SSA) were improved to model the

Page 11: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

3

penetration into the multilayer and variation with incident angles, it could be used in place of the

ray tracing simulator in RADICAL for shorter defects with minimal multilayer smoothing in

patterns with a half-pitch above 22nm. If this advanced SSA model is integrated into RADICAL

the runtime is increased by another two orders of magnitude. The sections of this dissertation

after Chapter 3 present the first thorough study of buried defects for the 22nm and 16nm

technology nodes. Without the speed increase provided by RADICAL the extensive quantitative

studies found throughout this dissertation would not be possible.

Chapter 4 is devoted solely to the study of isolated buried defects. Defects in EUV

lithography are problematic when they are located near features on the mask and cause these

features to print incorrectly. But, Chapter 4 shows that studying isolated defects gives valuable

insight into the printability of buried defects. The inversion of the aerial image of an isolated

buried bump defect through focus, from a dark spot for negative focus to a bright spot for

positive focus is a defect characteristic that will be important in every portion of this dissertation.

Chapter 4 also includes comparisons of RADICAL and experimental AIT images. These

confirm the accuracy of the multilayer simulation method in RADICAL. The main contribution

of this chapter is that isolated defects do not behave like conventional thin mask defects with a

constant phase or magnitude. This is important because it shows that although the reflected

phase difference due to the defect is the dominant characteristic, referring to defects by a single

lateral size or phase value will not adequately describe them.

Chapter 5 shows an interesting application of RADICAL for determining aberrations in the

AIT. The center intensity of a buried defect image through focus turns out to be sensitive to

aberrations. Different aberrations, such as astigmatism, spherical and coma, affect the through

focus intensity in different ways. This is exploited in a new method for determining large

aberrations using through focus images of an isolated EUV defect. This method is interesting,

and demonstrates the effects of aberrations on buried defects. But, it is not as important as other

parts of this dissertation because it will likely not be as precise as other methods for the small

aberrations expected in future EUV tools.

Chapter 6 directly investigates the printability of buried defects near features in various

future production scenarios for 22nm and 16nm dense lines. The printability of buried defects

depends on many geometric characteristics, such as the size, shape and position relative to the

features. For both 22nm and 16nm dense lines some defects shorter than 1nm tall on the

multilayer surface can cause greater than 10% critical dimension (CD) change in focus. Out of

focus, the defect tolerance is even worse. Comparisons to AIT simulations of defects near

features will confirm many of the trends observed in the simulation results. This chapter

provides the first thorough look at defect printability at the 22nm and 16nm nodes. It shows the

strong dependence of CD change on the defect position relative to the absorber lines, including

the fact that a defect only a couple nanometers tall located under an absorber line can cause a

greater than 10% CD change.

While Chapter 6 shows that multilayer defects in EUV masks are a serious problem,

Chapter 7 proposes a solution. The topic of Chapter 7 is defect compensation by adding or

subtracting absorber near the defect. It turns out that by using pre-calculated design curves,

several possible compensation geometries can be prescribed based only on the CD change

caused by the buried defect. If this compensation is applied, the effect of the defect at best focus

is nearly eliminated. But, through focus the defect still causes a CD change. A method is

proposed to reduce this through focus CD change as well. These methods to compensate for

buried defects may relax the mask blank defectivity requirements. If the necessary metrology

Page 12: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

4

and repair tools are available, the methods in this chapter show how simple absorber adjustments

can compensate for a buried defect.

Page 13: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

5

2 EUV Lithography 2.1 Lithography for Semiconductor Manufacturing

Optical projection lithography is currently used by all leading semiconductor

manufacturers to faithfully and economically transfer a designed circuit pattern to a silicon

wafer. Projection lithography has many advantages over competing technologies. Unlike

contact printing or nanoimprint lithography, there is no physical contact between the mask and

wafer, which greatly reduces the defects added during printing. Unlike in proximity printing, the

optics between the mask and wafer in projection lithography are designed to collect the

diffracted light and focus it onto the wafer, and resolution is not hurt by Fresnel diffraction.

Projection printing is parallel, meaning relatively large sections of the pattern are printed

simultaneously, which sets it apart from electron beam lithography. Finally, in projection

printing the source and mask can be designed to work together with the projection optics to

improve the resolution to well below the wavelength of the light used.

A generic projection lithography system, in this case with a dipole illumination, is shown

in Figure 2-1. There are three major components of this system: the illumination, which is made

up of the source and condenser optics, the photomask, and the projection optics. The

illumination projects light through the mask and projection optics to form an aerial image on the

wafer. The aerial image is the intensity distribution at the wafer. The aerial image is recorded

by the photoresist, allowing the mask pattern to be transferred to the wafer. The time average

work done on the resist, which is what the resist records, is proportional to this intensity, which

is given by the electric field squared, not the electric field. For this dissertation, an aerial image

will be considered the final output of this system.

Figure 2-1. Schematic of a generic lithography system. A dipole source is shown, with the light from two of the

many radiators in each pole traced to the mask.

Source (Dipole)

Condenser Optics

Photomask

Projection Optics

Wafer

Page 14: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

6

2.1.1 Illumination

Sources and condenser optics for lithography scanners are very complex. But, for this

work the illumination system will be treated as a black box with an output defined by its source

distribution. When the terms “source distribution” or “illumination” are used in this dissertation

they refer to a distribution of independent radiators in the source plane. The purpose of the

condenser optics is to produce a uniform plane wave on the mask from each of these radiators in

the source plane. Figure 2-1 shows a dipole with two of the radiators highlighted. In reality,

every radiator in the source is producing a plane wave incident on the mask at a slightly different

angle. Each of these radiators is mutually incoherent, meaning that the phase of the light emitted

from one is not related to the phase of any other. The light from each radiator travels through the

entire optical system and forms an image on the wafer. Because the phase of each radiator is

independent of the other radiators, the sum of the intensities of the resulting images is what

interacts with the resist on the wafer. Incoherent illumination is used because it results in a

smoother image, without ringing or speckle, than a coherent source would.

There are several different illuminations that are commonly used. Three examples are

shown in Figure 2-2. Three examples of source distributions used in lithography. Figure 2-2.

The white areas represent where light is output by the source. The center represents light which

ends up being normally incident on the mask. Away from the center, the larger the radius the

higher the incident angle of the wave. Light incident at higher angles is capable of printing

smaller features than normally incident light, with some limitations. For example, a dipole

illumination can print line space patterns very well, but only in one direction.

Figure 2-2. Three examples of source distributions used in lithography.

The simplest metric to describe an illumination is the partial coherence factor, sigma (σ).

This is defined as the ratio of the sine of the maximum angle of light incident on the photomask

from the source to the sine of the maximum angle of incidence collected by the projection optics.

The sin of the maximum angle collected by the projection optics is defined as the numerical

aperture, NA, which will be described later in this chapter.

If an illumination has a high sigma value, then light is incident on the photomask at high

angles. The partial coherence factor is normally below one. A partial coherence value of zero

means that the mask is illuminated by a normally incident plane wave.

Top Hat Annular Dipole

Page 15: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

7

2.1.2 Photomask

The photomask, also referred to as a reticle, is the master copy of the circuit layout which

is transferred to every circuit printed. There are two basic types of photomasks: binary and

phase-shifting. A binary mask simply blocks the light in some areas of the mask and not in

others. For example, if a mask is to be used to print a metal wiring pattern on the wafer, a

location on the mask where the light is blocked could correspond to a location on the wafer

where there is no metal. Conversely, a point where the light is not blocked will correspond to a

location on the mask where there is metal.

The second basic type of mask is a phase shift mask. Unlike a binary masks, which blocks

light, a phase shift mask changes the phase of incident light as it is transmitted through the mask.

This allows for higher diffraction efficiency into desired orders, because the light is not blocked

as in a binary mask.

2.1.3 Projection Optics

The projection optics are what deliver the image from the mask on to the wafer. In most

lithography systems the projection optics reduce the size of the mask image by a factor of four

when printed on the wafer. There are two characteristics of the projection optics that will be

important for this dissertation: numerical aperture (NA) and the level of aberrations.

The numerical aperture is defined as:

Equation 2-1: �� � � sin ��

where n is the refractive index of the material the image is projected into and θmax is the

maximum angle output by the projection optics. The NA of the projection optics is directly

related to the resolution (R) of the lithography system and the depth of focus (DOF)

Equation 2-2: � � ��

��

where λ is the wavelength of the light and k1, the “technology factor”, accounts for all

factors other than wavelength and NA that affect resolution. For example, using the annular or

dipole illuminations shown in Figure 2-2 can reduce the k1 factor.

The wavelength and NA of the system also determine the depth of focus (DOF) of a

lithography process. Like resolution, depth of focus depends on more than just the wavelength

and NA, so it is defined as shown in Equation 2-3.

Equation 2-3: ��� � � ��

�����

where k2 is another factor, like k1, that includes many additional parameters beyond

wavelength and NA. A typical k2 value is 0.5. With k2 = 0.5, the depth of focus becomes one

Rayleigh Unit, defined by Equation 2-4.

Equation 2-4: ������� "��# ��

�����

The depth of focus is the amount the plane the image is being projected onto can move

nearer or farther away from the projection optics without producing an unacceptable image.

Normally this plane the image is being projected onto is in the resist on top surface of the wafer.

Having a larger DOF is advantageous because it means less control of the wafer position and

surface uniformity is required in the scanner.

Two characteristics determined by the projection optics are critically important to

lithography. One is resolution through a usable DOF. The other is the level of aberrations.

High quality projection optics have very low aberrations. Aberrations are imperfections in the

Page 16: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

8

optics which cause the printed image to deviate from the desired image. A photomask diffracts

the light incident onto it over a large angular spectrum. Ideally, the optical path length would be

the same for each of these angles. Aberrations are non-idealities that cause different path lengths

for each angle. This causes the phases of the waves that form the image being incorrect and

causes errors in the wafer image. Aberrations are a serious problem for current EUV actinic

inspection tools.

2.2 Lithography with Extreme Ultraviolet Light

The primary goal of the lithography industry is to follow Moore’s Law by continuing to

shrink the sizes of features printed on integrated circuits. Shrinking feature sizes requires

improving, or decreasing, the possible resolution. As Equation 2-2 shows, to improve the

resolution of a lithography system the numerical aperture must be increased or the wavelength or

k1 must be decreased. Current and proposed EUV systems have a lower NA and simpler

illumination than current deep ultraviolet (DUV) tools. But, they are still able to achieve better

resolution because the wavelength is decreased significantly from 193nm to 13.5nm. This lower

wavelength, along with lower NA, gives an improvement in resolution and depth of focus.

The most advanced currently available 193nm scanners have a numerical aperture of 1.35.

Which corresponds to a Rayleigh unit, or approximate DOF from Equation 2-4, of 53nm.

Production EUV lithography is expected to be introduced with an NA of 0.32. This corresponds

to a Rayleigh unit of 66nm. So there is a small improvement DOF. The required k1 from

Equation 2-2 to print 22nm lines, for example, increases from 0.15 for 193nm lithography to

0.52 for EUV lithography. To print with a k1 as low as 0.15 will most likely require double

patterning or simultaneous optimization of the mask and source, which will be expensive and

difficult [1, 2]. Comparatively, printing with a k1 of 0.52 is fairly simple. Only mild optical

proximity correction (OPC) is required [3]. Another advantage of a lower NA value is that

polarization effects, on the wafer and mask, are greatly reduced because the variation in the

angles of incidence of the light on the wafer and mask is much lower.

The description in section 2.1of a lithography system was generic and could apply to any

form of projection lithography. To understand this dissertation it is important to understand the

details of EUV lithography.

2.2.1 Illumination for EUV Lithography

The wavelength of light used for EUV lithography is 13.5nm. To generate light at this

wavelength, production tools will use tin plasma. There are two competing technologies to

generate this plasma: laser produced plasma (LPP) and discharge produced plasma (DPP). The

differences between these two methods are beyond the scope of this dissertation. It is important

to note that compared to the ArF sources used for DUV lithography, EUV sources generate a

relatively large wavelength spectrum. Therefore, the mirrors between the source and the wafer

are the main determinant of the bandwidth which contributes to the final wafer image.

EUV illumination systems are designed to be the same as the illumination systems

described in 2.1.1. Current tools, designed to print features in the 30nm range use mainly tophat

illuminations, because off-axis illumination are not required for the desired resolution. When

EUV goes into production, off-axis illuminations like those in Figure 2-2 will likely be used.

Page 17: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

9

2.2.2 Photomask for EUV Lithography

All EUV lithography masks discussed in the dissertation, and most EUV masks used in

practice, are binary masks. The major difference between a conventional lithography mask and

an EUV mask is a conventional mask modulates transmitted light, and an EUV mask modulates

reflected light. A reflective mask is necessary because any mechanically rigid transmitting mask

would absorb too much EUV light to be usable. This absorption is a problem at EUV

wavelengths and not at DUV wavelengths because at EUV no materials are available that would

not unacceptably lossy. All materials have a refractive index around one and a significant

imaginary component. This imaginary component causes absorption of propagating light. The

structure of the mask is shown in Figure 2-3.

Figure 2-3. Sideview cutline of an EUV mask (left) and the resulting aerial image (right).

An EUV masks has two main components which interact with the incident light. The first

is the multilayer, which reflects the light incident on it. An EUV mask multilayer is usually

composed of many layers of Silicon (Si) and Molybdenum (Mo) stacked alternately on top of

each other. A pair Si-Mo layers is referred to as a bilayer. These bilayers are required because

at a wavelength of 13.5nm, the material differences between Si and Mo is so small that a single

layer would reflect a very small percentage of the energy. The geometry of the multilayer is

chosen so that the small amount of light reflected from each bilayer adds in-phase with the light

reflected from all of the other bilayers. Ideally for a multilayer composed of 40 bilayers, which

is a common design, about 75% of the incident power is reflected. In practice, reflectance values

are around 70% [4].

The second component is the absorber, which defines the pattern to be transferred to the

mask. The absorber is several wavelengths tall and can be made out of several different

materials. In this dissertation, the absorber is assumed to be made out of Tantalum Nitride (TaN)

with an anti-reflective coating on top. The anti-reflective coating is necessary for inspections of

the mask using tools designed for DUV masks. The absorber works very simply: where there is

absorber most of the light is absorber (i.e. not reflected) and where there is no absorber the light

is reflected.

Refractive Indices of Simulation Domain

0 100 200 300 400 500 600 700

0

50

100

150

200

250

300

350

400

450

Page 18: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

10

2.2.3 Projection Optics for EUV Lithography

Like the mask, optics for EUV lithography must be reflective. There are advantages and

disadvantages to reflective optics. One major advantage is the lack of chromatic aberration. In

refractive optics, light at different wavelengths are focused to different positions because of the

slightly different refractive index values for different wavelengths. This aberration is not present

in reflected optics because light is always reflected at the angle it is incident on a surface,

independent of wavelength. One problem with reflective optics at EUV is that each mirror absorbs a non-trivial amount

of energy. Because sources can only produce a limited amount of power, the number of mirrors

that can be used for the optics is limited. Also, the decreased wavelength means that much

smaller physical non-idealities, such as mirror non-uniformity, will cause unacceptable

aberrations. But, current projection optics are meeting the aberration requirements and the

quality of the projection optics is not currently an issue for EUV lithography [4, 5].

Another issue with reflective optics is that finding a path for the light around all the

necessary mirrors is difficult. For NA above 0.4, a 6-mirror system will not be possible [4].

Either more mirrors will be needed, or the design will have to be fundamentally changed with the

addition of a central obscuration. A central obscuration means that the angles near the 0th

order

reflected off the mask are lost and do not contribute to the final wafer image. An example of a

real system with a central obscuration is the Berkeley Micro-exposure Tool, which is described

in Section 2.4.2.

2.3 Current issues in EUV Lithography Development

The advancement of EUV lithography has been slow and the expected insertion time has

continued to move farther and farther into the future. The primary hindrance to EUV lithography

is economics. EUV lithography systems could be installed in a semiconductor fabrication

facility in their current state would make some working chips. But, the cost of the system would

be much, much higher than the technologies currently being use. There are three main

components of the system that have kept EUV lithography from being implemented: the source,

photoresist and mask. The problems with each of these are described below. Since

understanding EUV mask defects is critical to understand this dissertation, they will be covered

in more detail here than the source and photoresist.

2.3.1 Source

The main problem with the EUV source is power. Source power is directly related to

throughput. If the power is high, each wafer must be exposed for a short amount of time. If the

power is decreased, each wafer must be exposed for longer, and the throughput is decreased.

The useable EUV power level needed for high volume manufacturing is several hundred watts.

But, for the past several years the highest sustained power produced by any the source has been

10W or less. Recently, however, source powers have increased significantly and source

manufacturers are confident that the source will not prevent the implementation of EUV

lithography. [6]

2.3.2 Photoresist

Photoresist, usually referred to simply as resist, is the photosensitive material which is

deposited onto silicon wafers before each lithography step. The photoresist’s chemical

Page 19: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

11

properties are changed when it is exposed to light, which allow it to temporarily record the

pattern on the wafer in between process steps. There are three figures of merit for resist that must

be balanced and optimized: sensitivity, resolution and line edge roughness (LER). Sensitivity,

measured in joules/cm2, determines how much energy is required to transfer the pattern to the

resist. Resolution, measured in nm, is the smallest pattern a resist can print. Line edge

roughness, also measured in nm, is the high frequency (compared to the feature sizes) variation

in the position of the edge of a resist line. As with many things in engineering, it is not difficult

to improve two of these figures of merit at the expense of the third. But, improving all three

simultaneously is difficult. Currently, EUV resist performance appears to be on track to meet

overall EUV lithography targets, though there are ominous signs improvement is slowing [7].

2.3.3 Mask

As explained above, a reflective EUV mask is completely different than a conventional

transmission mask. This presents two related problems which are a significant hindrance to the

implementation of EUV lithography: mask defectively and mask inspection. Currently, EUV

mask blank suppliers are unable to produce defect free masks [8]. A mask blank is an EUV

mask without a pattern printed on it. The defects in these blanks occur in the multilayer.

Sometimes they are on the substrate, perhaps due to a scratch which occurs during substrate

polishing. Other times the defects are due to particles which are unintentionally deposited along

with the multilayer deposition process. These sorts of defects are often referred to as buried

defects. They are also sometimes referred to as phase defects, because their primary, but not

only, effect is on the phase of the reflected field. Two examples of buried defects are shown in

Figure 2-4.

Figure 2-4. Two computer generated cross-section refractive index map examples of an EUV mask blank with a

buried defect. The left image is of a substrate pit or scratch. The right image is of a particle which was deposited

during the multilayer deposition.

Current reported blank defect densities in EUV masks are on the order of one defect per

centimeter squared [9, 10, 11], which is unacceptably high. However the second issue, mask

inspection, actually calls into question the legitimacy of the defect densities above. The actual

density of printable defects is likely much higher. Mask inspection tools are used to detect

defects on lithography masks. These tools are very expensive to design and manufacture. For

X-axis (nm)

Y-A

xis

(nm

)

0 50 100 150 200 250 300 350 400 450 500

0

50

100

150

200

250

300

350

400

450

X-axis (nm)

Y-A

xis

(nm

)

0 50 100 150 200 250 300 350 400 450 500

0

50

100

150

200

250

300

350

400

4500.8

0.82

0.84

0.86

0.88

0.9

0.92

0.94

0.96

0.98

1

Page 20: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

12

this reason, there are no mask inspection tools currently available which are able to detect detects

as small as the smallest printable defects for EUV lithography. This means that currently

reported defect densities are lower than the actual printable defect densities on the mask. The

current inspection tools miss defects that will negatively affect yield in EUV lithography.

2.4 Existing EUV Lithography Tools

EUV lithography tools suitable for high volume manufacturing are not currently available.

But, there are many EUV research tools around the world which are currently being used to help

develop the technologies, such as masks and resist, necessary for EUV to be implemented.

These tools, especially the Actinic Inspection Tool, have been essential for providing

experimental verification of this dissertation. Each of these tools falls into one of three

categories: full field scanners, micro-exposure tools and actinic inspection tools.

2.4.1 Full Field Scanners

Full field scanners are the types of tools which will be used to manufacture integrated

circuits if EUV lithography is ever adopted. Currently the leading scanner manufacturer, ASML,

has two alpha tools in the field [12]. These tools are being used for all sorts of EUV research

such as mask defect printability [9,10] and for demonstrations of device integration with EUV

[13,14].

2.4.2 Micro Exposure Tools

Micro exposure tools, like scanners, are used to expose resist on wafers [15,16]. But,

unlike scanners and as the name implies, they only expose a very small area of the wafer.

Currently, the primary use for micro exposure tools is resist evaluation.

2.4.3 Actinic Inspection Tools

There are several types of actinic inspection tools. What they all have in common is they

expose an EUV mask with actinic light. In this case, actinic means the wavelength of the light is

approximately the wavelength that is used in an EUV scanner. One kind actinic inspection tools

are dark field [17]. These tools illuminate an EUV mask with an on-axis plane wave and form

an image on a detector with the light scattered off axis. The on-axis light does not contribute to

the image. Bright field actinic inspection tools are also used for mask research [18, 19, 20].

These tools illuminate a mask with a plane wave or more advanced illumination and collect all of

the light scattered from the mask within a pupil defined by the NA of the tool to form the aerial

image on a detector. These sorts of tools are valuable for the research in this dissertation,

because the fields can be compared directly to aerial images predicted by simulation without

needing to model complicated resist behavior. For this reason, significant comparisons were

made between the Actinic Inspection Tool (AIT) at Lawrence Berkeley National Laboratory

(LBNL) and RADICAL, the simulator described in this dissertation.

2.4.3.1 AIT at LBNL One of the leading actinic inspection tools in the world is at Lawrence Berkeley National

Laboratory (LBNL). The tool is generally referred to as The Actinic Inspection Tool or AIT.

The AIT is a Fresnel zoneplate microscope designed to emulate the optics of an EUV scanner

[18]. There are five different zoneplates built into the tool. Any one of the zoneplates can be

Page 21: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

13

used to emulate a certain imaging condition. The image formed by the zoneplate is projected

onto a charge-coupled device (CCD) to record the image. The source for the AIT is a bending

magnet on the Advanced Light Source (ALS) at LBNL. The light received by the AIT has a

very narrow bandwidth, but the wavelength itself can be adjusted. This is a valuable capability

and is currently used as a robust way to adjust the focus position of the AIT image [21].

There are several important differences between the AIT and a production EUV scanner.

The one with the largest effect on the final image is partial coherence. A production EUV

scanner will have a partial coherence value (σ) of anywhere from 0.5 to nearly 1.0 and may use

advanced illuminations such as annular or dipole. But, the AIT illumination is fixed and much

more coherent than a production scanner. In this work, it is modeled as a tophat illumination

with σ=0.1. Another different between the AIT and a production tool is the bandwidth of the

light. A production tool will have a much wider bandwidth than the AIT. The final major

difference is aberrations. The fundamentals of zoneplate imaging allow at best only a single

point to be without aberrations, away from this point aberrations increase.

2.4.4 Programmed Defect Mask (PDM)

As explained above, buried defects in EUV masks occur naturally and more often than is

acceptable. But, studying only these randomly occurring defects makes systematic experimental

studies of defect printability impossible. Therefore, program defect masks (PDM) are created to

allow systematic experimental studies of buried defect printability [22,23,24]. EUV masks with

programmed buried defects are created be depositing or removing some material from the mask

substrate before multilayer deposition, creating defects. Then the multilayer is deposited on top

of these defects and the resulting size of the defects on the surface is measured. Once the defect

sizes are measured, the desired absorber pattern is deposited on top of the multilayer.

Intel fabricated a program defect mask to study EUV defects [22]. All AIT inspection

results analyzed in this dissertation were done on this programmed defect mask in which 48nm

high posts with a square base on a substrate were over-coated with a multilayer. The width of

the posts was varied. It turns out that the smoothing process used for the multilayer deposition

produced defects which all have between a 50 and 60 nm full width half max (FWHM) diameter

and heights ranging up to 8nm. The substrate and surface sizes of all the defects on the mask is

given in Table 2-1. This mask has only substrate bumps, not pits. Therefore, bumps will be the

focus of comparisons between experiments and simulations in this work.

Table 2-1. Defect sizes for the programmed defect mask. Buried defects are boxes on the substrate with a square

base and constant height. The surface defects are the result of the multilayer smoothing and are roughly Gaussian

shaped.

Buried Width Buried Height Surface FWHM Surface Height

100 48 60 8

95 48 59 7

90 48 58 6.2

85 48 56 5.3

80 48 55 4.4

75 48 54 3.5

70 48 53 2.7

65 48 52 2

60 48 51 1.7

55 48 50 0.8

50 48 49 0.4

Page 22: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

14

2.5 Summary

Lithography with extreme ultraviolet 13.5nm light is challenging for several reasons. The

13.5nm wavelength requires significant new development of source, photomask, optics and

photoresist technologies. But, the advantage of much higher resolution with improved depth of

focus keeps the industry working hard to implement EUV lithography successfully for integrated

circuit manufacturing. The simulation tool development and defect characterization work that

will follow in this dissertation has and will continue to play a guiding role in this development

work.

Page 23: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

15

3 Fast Simulation Method

for EUV Masks with

Buried Defects 3.1 History of EUV Mask Simulation

The history of defective EUV mask simulation is extensive and has included several

methods to accelerate the simulation process. EUV masks are different than conventional

lithography masks. The main difference is that EUV masks are reflective. A multilayer mirror is

used to reflect incident light and this mirror is susceptible to buried defects. Conventional

lithography simulation methods are not designed to simulate the complex defective multilayer

structures, so a lot of work has been done to develop simulation methods specifically for EUV

masks with buried defects.

One type of simulator used extensively in conventional lithography that can be applied

directly to EUV defective mask simulation is a rigorous simulator. Rigorous simulation methods

have been used for EUV masks with buried defects. A common rigorous and scalable method is

the finite difference time domain (FDTD) [25]. Unfortunately, for EUV masks FDTD is very

computationally intensive and has questionable accuracy for off-axis angles of incidence [26].

Modal methods, like rigorous coupled wave analysis and the waveguide method, have been

shown to perform faster than FDTD. But, unlike FDTD, the runtime of modal methods depends

greatly on how well the geometry can be approximated by rectangles, reducing the speed

improvements over FDTD for defective EUV multilayer simulations [ 27 , 28 ]. Another

commonly used rigorous method shown to be faster than FDTD is the finite element method

(FEM) [29]. FEM models the geometry with a non-uniform triangular mesh so it can simulate

geometry irregularities, like a buried defect, with less affect on runtime than the waveguide

method [30].

Dramatic speed and accuracy improvements over rigorous methods have been shown by

linking analytic multilayer models with FDTD [31,32], but these methods do not account for a

defective multilayer. Many approximate methods have been developed that do account for

buried defects. In [33], Bollepalli simulated an EUV mask with a buried defect using code

optimized for proximity X-ray lithography. In [34] Evanschitzky used the approximation in

[35], that a defective multilayer is made up of subregions of defect free multilayers of different

heights lined up next to each other, along with FDTD to simulate a full EUV mask with a buried

defect.

The fastest simulation method for defective multilayer simulations is the single surface

approximation (SSA). It was presented by Gullikson, along with an algebraic printability model

in [36]. But, SSA used in conjunction with the algebraic imaging model was shown to over

predict the effect of the defect on the aerial image for defects with a surface width larger than

30nm [37]. This was because the major assumption of the imaging model is that the scattering

from the defect filled the pupil uniformly. But, for defects larger than 30nm wide this is not true,

Page 24: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

16

the pupil is not filled uniformly. For these larger defects, the light is concentrated at the center of

the pupil. Therefore, assuming that the intensity value of the zero-order is uniform across the

pupil, as the algebraic model in [36] does, predicts an effect much larger than is actually

produced by the defect. If the near fields predicted with SSA and third party imaging software,

such as SPLAT , Prolith or Panoramic EM-Suite, are used in place of the algebraic model to

calculate the aerial image, SSA under predicts the impact of most buried defects and does not

model defect feature interaction [26]. In this dissertation a new method for tuning and

generalizing SSA to arbitrary angles of incidence, referred to as Advanced SSA, is introduced.

This method is shown to be sufficiently accurate in many EUV mask geometries.

A ray tracing method for multilayer blank simulation as accurate as FDTD, yet three orders

of magnitude faster than FDTD was developed and evaluated by Lam in [38]. A generalization

to a propagated thin mask model for EUV mask absorber features was proposed in [39]. These

methods are a major part of this work and will be expanded upon below.

The first goal of this dissertation is to develop fast, accurate and flexible methods for

simulating the challenging interactions between EUV blank defects near absorber features. Each

of the simulation methods discussed above was a breakthrough for EUV mask simulation. But,

none of them were simultaneously fast, accurate and flexible. For example, FDTD is very

accurate and is flexible enough to simulate any geometry, but it is slow. Ray tracing, on the

other hand is very fast and accurate for multilayer simulations, but its flexibility is limited by an

inability to simulate defects near features. For this reason, the new simulator RADICAL was

created.

Unless specifically noted otherwise, all simulation methods discussed, like RADICAL,

SSA and FDTD, are used to predict the electric field reflected from the EUV mask. To produce

the aerial image at the wafer a separate aerial image simulator is necessary. For this work,

Panoramic EM-Suite is used.

3.2 RADICAL

RADICAL, which stands for rapid absorber defect interaction computation for advanced

lithography, is a simulation program designed specifically for fast, accurate and flexible

simulations of EUV masks with buried defects. It was presented in [40] and can simulate EUV

masks with buried defects and absorber features two orders of magnitude faster than FDTD using

two orders of magnitude less memory. This speedup is accomplished by simulating the absorber

features and defective multilayer separately using simulation methods optimized for each. The

simulator flow is shown in Figure 3-1. The absorber layout simulator runs without regard for the

multilayer geometry and the multilayer simulator runs without regard for the absorber layout.

This modularity makes the fast and accurate simulation of the entire mask possible. Both

components of the simulator, the thin mask absorber model and multilayer simulator take an

arbitrary plane wave input and output a complex electric field. A Fourier transform is used to

convert the electric fields output by one simulator into plane waves to be input into the next.

Multiple reflections between the absorber and the multilayer are not considered in RADICAL to

save runtime. This approximation is valid because the reflection of light coming from the

multilayer off the bottom of the absorber pattern is very small and does not contribute a

meaningful amount to the reflected field.

Page 25: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

17

Figure 3-1. Graphical summary of the RADICAL simulation flow

RADICAL has been implemented with two different methods for multilayer simulation.

The first implementation, referred to as “standard RADICAL”, uses the ray tracing multilayer

simulator presented in [38]. RADICAL has also been implemented using the advanced single

surface approximation to simulate the multilayer. This is referred to as RADICAL with SSA.

3.2.1 Multilayer Simulation

The modularity of RADICAL makes it trivial to integrate different models into the

simulation flow. Two different techniques are used to simulate the multilayer. For taller defects

with very non-uniform layers below the surface ray tracing is used. The shorter defects with

relatively uniform layers below the surface the single surface approximation can be used. The

details of each method are explained below.

3.2.1.1 Ray Tracing The ray tracing multilayer simulator was presented in [38]. It is capable of simulating a

defective EUV mask blank which is a multilayer with no absorber features. The simulator uses

ray tracing to simulate EUV light propagating into the multilayer. The key observation made by

Lam while creating this simulator was that each silicon molybdenum pair could be treated as a

single layer, because the two layers within the bilayer are nearly parallel. Therefore a layer that

is tilted, due to a buried defect, causes the transmitted ray to be laterally shifted slightly. This

accurately accounts for the disrupted geometry of the multilayer due to the defect. The

propagation out of the multilayer is done differently. For this step, the multilayer is assumed to

be perfect. The fields at each layer, predicted by ray tracing, are converted to their Fourier

components and propagated out analytically. This step, which is a perturbational approach,

accounts for the resonances in the multilayer by summing the infinite series of reflections in

closed form.

Reflection from

multilayer

Transmission through

absorber features

Ray

Tracing

Thin Mask

Model

Plane Wave

Near Field

Incident

Wave FT{ }

Near

FieldFT{ }Absorber Layout

SimulatorFinal Result

Multilayer

Simulator

Absorber Layout

Simulator

Near

Field

Absorber Pattern

Specifications

Defect and Multilayer

Specifications

Fourier

Transform

Reference

Plane

SSAor

Page 26: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

18

These approximations make the ray tracing simulator much faster than rigorous methods

without loss of accuracy for most substrate defects [26]. Though, if layers within the multilayer

become overly distorted, which could happen for large substrate defects, the perturbational

approximation for propagation out is not valid and rigorous simulations may be necessary.

3.2.1.2 Single Surface Approximation and Its Generalization The single surface approximation (SSA) is a method to predict the electric field reflected

from a defective EUV mask blank. It was introduced in [36]. The single surface approximation

assumes that a buried defect is purely a phase defect, and the change in phase is determined by

the round trip path difference due to the perturbation of the surface by the buried defect. It

ignores the effects of all of the layers below the top surface of the multilayer. It was shown in

[41] that using a slightly larger surface defect height than is actually on the mask, to account for

the penetration of the light into the multilayer, improves the accuracy of SSA for modeling

isolated defects illuminated with normal incidence plane waves.

To use SSA in RADICAL, it must be able to simulate the reflected field for plane waves

incident at arbitrary angles. Changing the angle of incidence of a plane wave on an EUV

multilayer primarily changes two attributes of the reflected field. It adds a linear progression in

phase across the field and scales the magnitude and phase of the entire field uniformly. This

complex scaling is due to the variation in reflection from the EUV blank as a function of incident

angle and can be predicted accurately analytically.

The advanced single surface approximation is a four step algorithm:

Perform a tuned SSA simulation as described in Section 4.2.4.

Take the Fourier transform and shift the result in k-space to account for off axis angles of

incidence

Scale the complex field by the magnitude and phase of the incident wave.

Scale the complex field by the magnitude and phase of the analytic multilayer reflection

coefficient.

Since the standard SSA method described in [36] does not consider angle of incidence,

step 1 above must only be done once for each RADICAL run. The results can be re-used for all

angles of incidence. Steps 2-4, however, must be repeated for each angle of incidence.

In [41] it was shown that algebraic methods, like advanced SSA, are only valid for defects

that are up to 4.5nm tall on the surface of the multilayer. However, due to substrate and

multilayer smoothing, even substrate defects as large as 50nm tall will produce defects less than

4.5nm tall on the multilayer surface. The assumption that buried defects are purely phase defects

isn’t totally accurate and these inaccuracies are more apparent for out of focus simulations. This

will be discussed more in section 3.2.3 on accuracy.

3.2.2 Absorber Simulation

The absorber, used to define the pattern printed by the EUV mask, is many wavelengths

tall and many wavelengths wide. Because of its large size, relative to the wavelength of light,

and because the differences in the optical parameters of the materials in an EUV mask are small,

a simple model can be used to predict the field transmitted through an absorber pattern. The

development of the propagated thin mask model was presented in [39]. It is assumed that this

calibration is done before a RADICAL simulation, though the 2D FDTD simulation required for

the calibration can be done in less than a minute on a standard laptop computer. Using this fast

and simple 2D calibration allows any EUV absorber stack to be simulated in 3D in RADICAL.

Page 27: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

19

Creating the transmitted field from the absorber pattern is a three step process. The first

step is to follow the basic thin mask model and set the field magnitude and phase to the analytic

value below the absorber and to one in the spaces where there is not absorber. The analytic

absorber transmission calculation assumes an infinitely wide absorber, which means edge effects

are ignored. The second step is to add point sources to the edges of the absorber. The Fourier

spectrum produced by an FDTD simulation of an absorber edge shows a constant background of

even orders. The magnitude and phase of the point source is chosen to match the magnitude and

phase of these orders. The final step in the model is to propagate the field with the point sources

approximately half the height of the absorber feature. In [39], for a 70nm absorber, the field was

propagated 40nm. This final step adjusts the phase of the orders in the Fourier transform of the

model to match the FDTD simulation and the exact distance of propagation depends on the

material properties and height of the absorber.

This model has been shown to be accurate for predicting transmission through features as

small as 60nm mask scale, but the accuracy decreases for angles of incidence well above 6° [39].

Therefore this model is accurate for EUV lithography at the 22nm and 16nm nodes. But, it will

need to be re-evaluated and possibly expanded if higher NA EUV systems with nominal mask

incident angles above 6° need to be simulated.

3.2.3 Accuracy of RADICAL

The finite difference time domain will be used for comparisons to determine the accuracy

of RADICAL in two scenarios. The first scenario models a pre-production scanner printing

32nm lines. The other models a production scanner printing 22nm lines. Figure 3-2 shows the

CD change predicted by TEMPEST, an FDTD simulator, standard RADICAL and RADICAL

with SSA for three focus values for the first scenario. RADICAL with SSA uses the tuned SSA

model described in section 4.2.4. The mask pattern is the same 128nm dense line pattern with a

buried defect described in the computational requirements section above. The near fields for

each curve were calculated using each of the three methods. The aerial image from that near

field was calculated using Panoramic EM-Suite for NA=0.25, σ=0.75 and 4x demagnification. A

constant threshold was chosen such that each method has 32nm lines (wafer scale) in the defect

free case.

The main conclusion from this plot is that both versions of RADICAL match FDTD fairly

well for this scenario. For the focus = 0 case, the differences between all three simulators are

0 1 2 3 4

0

5

10

15

20

25

Focus: -108nm

Surface Defect Height (nm)

CD

Change (nm

)

0 1 2 3 4

0

5

10

15

20

25

Focus: 0nm

Surface Defect Height (nm)

CD

Change (nm

)

TEMPEST

RADICAL

RADICAL SSA

0 1 2 3 4

0

5

10

15

20

25

Focus: 108nm

Surface Defect Height (nm)

CD

Change (nm

)

Figure 3-2. CD change predicted by TEMPEST, standard RADICAL and RADICAL with SSA for three focus

values. NA=0.25, σ=0.75,wafer CD=32nm .

Page 28: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

20

always less than 1nm, an excellent match. For the out of focus cases, there is a maximum error

of 3.9nm. The assumed application for RADICAL is for many fast simulations of small defects

within a compensation algorithm or to determine maximum allowable defect specifications.

Because the larger defects, where there is some error in RADICAL, will likely cause changes

well above what is acceptable, detailed simulations of their printability will not be necessary. If

very accurate simulations of relatively large defects are required, rigorous simulations will be

necessary.

The error increases as defect size increases. This is expected for both standard RADICAL

and RADICAL with SSA. The ray tracing multilayer simulator assumes that the multilayer is

not too distorted by the defect, it is a perturbational method. As defect size increases, the use of

a defect free multilayer and analytic resonance for upward propagation becomes less valid. The

error also increases with defocus for large defects. As focus changes, the effect of the phase in

the predicted near field becomes more important. If small errors are made by ray tracing in the

phase prediction, these are amplified when the aerial image is calculated out of focus.

The effect of defect phase through focus is seen in the results for RADICAL with SSA.

For the case with no defocus, RADICAL SSA matches FDTD almost exactly for the largest

defect. But for the out of focus cases it over predicts the effect of the defect. This is because

SSA models the defect as purely a phase perturbation. Since RADICAL with SSA over predicts

the CD change, it suggests that in reality a buried defect affects the phase and magnitude of the

reflected field, not just the phase.

Since the error was expected to get worse for large defects and out of focus, as explained

above, the accuracy of RADICAL, while not perfect, is predictable. For simulations at best

focus and for defects smaller than 2.5nm surface height, RADICAL is accurate to about 1nm.

Outside of these cases, the accuracy is worse, but RADICAL will still provide useful insight into

the defect feature interaction.

Simulation results for the second scenario, a production EUV tool printing 22nm lines, are

shown in Figure 3-3. In this case, FDTD is not used because its accuracy is questionable for

22nm half-pitch patterns [26]. Instead, standard RADICAL is run on smoothed and un-

smoothed multilayers with the same surface defect geometry and the results are compared to

RADICAL with SSA. The method which predicted the highest CD change in all cases is a full

RADICAL with ray tracing simulation of substrate defects smoothed to form shorter and wider

surface defects. The other two methods use only the surface profile information. One is a full

RADICAL with ray tracing simulation of a defective multilayer with identical layers from the

surface to the substrate, the other is a RADICAL with SSA simulation. The uniform layer and

SSA simulations use the increased surface height approximation described in Section 4.2.4.

Figure 3-3. CD change as a function of surface defect height for three different geometry assumptions. Each defect

is located in the center of a 22nm dense line space pattern (wafer scale). NA=0.32, σ=0.75.

0 0.5 1 1.5 2 2.5-5

0

5

10

15

20

Surface Defect Height (nm)

CD

Change (nm

)

Focus = -75nm

0 0.5 1 1.5 2 2.5-5

0

5

10

15

20

Surface Defect Height (nm)

CD

Change (nm

)

Focus = 0nm

0 0.5 1 1.5 2 2.5-5

0

5

10

15

20

Surface Defect Height (nm)

CD

Change (nm

)

Focus = 75nm

Ray Tracing with Smoothing

Ray Tracing with Uniform Multilayer

Single Surface Approximation

Page 29: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

21

The match between RADICAL with SSA and standard RADICAL with ray tracing is

clearly much better in Figure 3-2, for 32nm lines and NA=0.25 than in Figure 3-3, for 22nm

lines and NA=0.32. This difference is caused by the non-uniform layers below the surface,

which have a larger effect on the final image for tighter pitches and higher NA. The 22nm

pattern diffracts more light at higher angles than the 32nm pattern and more of the light

diffracted at these higher angles is collected by the higher NA. These higher angles are

important because for light incident above the nominal angle the multilayer was designed for, the

resonance is diminished and light propagates deeper into the multilayer. Therefore, the shape of

the lower layers contributes more to the final reflection off the multilayer and the reflections off

of each layer are not simply added in phase. Therefore, the assumptions behind the single

surface approximation are less accurate. But, as shown in Figure 3-3, if the multilayer is uniform

SSA matches the ray tracing method very well because each of the lower layers probed by the

off-axis incident light is identical to the top layer.

The dependence on angle is best demonstrated by comparing the phase of electric fields

reflected from an EUV mask at two angles of incidence, as shown in Figure 3-4. There is a 15%

change in the reflected phase for the off-axis light compared to the nearly on-axis light. For the

SSA approximation these two profiles would be identical, which is a source of error for SSA.

SSA is a fast and accurate method for some defects, but it may not be accurate enough for

absorber patterns with half-pitches below 32nm (wafer scale) on top of a multilayer with

significant non-uniformities below the surface.

Figure 3-4. Phase of reflected field cutline for two angles of incidence for a full ray tracing simulation of a 1.5nm

tall surface defect resulting from a 5nm tall substrate defect.

It is important to note that RADICAL assumes the layers in the mask continuous. The

accuracy of the approximations in SSA and the ray tracing method will be very bad if an abrupt

disruption in the multilayer occurs near the surface. This could be caused by a crack, or a large

defect particle added during the multilayer deposition in a layer near the top. For these cases a

rigorous simulation will be necessary.

3.2.3.1 Accuracy of Absorber Model for Corners RADICAL must be able to simulate more than just line space patterns, it must be able to

accurately simulate an arbitrary geometry. A simulation study was performed by Samsung to

investigate the printability of absorber defects [42]. These results are suitable for comparison

0 50 100 150 200 250 300 350 400 450 500-0.5

0

0.5

1

1.5

2

Distance (nm)

Phase (ra

dia

ns)

Phase comparison for two angles of incidence

1.5deg

10.3deg

Page 30: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

22

with RADICAL simulations to verify the accuracy of RADICAL’s edge model. The Samsung

data used the waveguide simulation method, which is a rigorous method which works very well

for simulating EUV masks without buried defects.

Figure 3-5. Geometries simulated by Samsung and RADICAL.

The geometries simulated are summarized in Figure 3-5. The absorber defects are squares

with an increasing width, w. The maximum critical dimension change at the wafer due to the

defect was measured and the results are plotted in Figure 3-6. It is clear from Figure 3-6 that

RADICAL matched the rigorous data from Samsung very well, confirming that RADICAL can

accurately simulate absorber corners.

Figure 3-6. Comparison of CD change (1x wafer scale) predicted by rigorous simulation results from Samsung and

RADICAL as a function of absorber defect square width (4x mask scale). NA=0.25, σ=0.5.

Mask Distance (nm)

Mask D

ista

nce (nm

)

0 200 400 600

0

100

200

300

400

500

600

700

W

W

0 10 20 30 40 50 60 70 80

0

5

10

15

20

25Comparison to Samsung Data

Square Width: w (nm)

Absolu

te V

alu

e o

f C

D C

hange (nm

)

RADICAL

Samsung Data

Page 31: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

23

3.2.4 Computational Requirements of RADICAL

RADICAL is much less computationally intensive than FDTD. Table 3-1 shows the

runtime of FDTD, standard RADICAL, and RADICAL with SSA. These runtimes are for a

mask with a 128nm line space pattern and a buried defect. 128nm on the mask corresponds to

32nm on the wafer, assuming a 4x system. The total mask area simulated is 256nm x 256nm.

The buried defect is a circularly symmetric Gaussian 20nm tall and 50nm full width half max

(FWHM). The profiles of the 40 bilayers between the substrate and surface are predicted by the

model in [43]. The resulting 3.1nm tall and 61nm FWHM surface defect is centered on the edge

of the absorber. The FDTD simulator used is TEMPEST 6.0 with 30 nodes per wavelength.

FDTD is used as the rigorous method for comparison, instead of FEM or a modal method,

because it was available for free and its runtime is a predictable function of cell density and does

not depend and a program specific algorithm used to form rectangles or triangles to model the

defective multilayer geometry. To ease comparison with other methods, and between the

multiple computers used for this work, runtime values will be reported in an arbitrary unit. This

arbitrary unit is the time it takes to run the fft2 function in MATLAB on a 1000x1000 cell

matrix. On a 2.39GHz laptop, the unit is 0.109s.

Standard RADICAL is 980 times faster than FDTD for the line space pattern and

RADICAL with SSA is 25 times faster than standard RADICAL. This makes RADICAL with

SSA nearly 25,000 times faster than FDTD.

One interesting characteristic of RADICAL is the dependence of simulator runtime on

absorber pattern. This is a result of Fourier transform link between the absorber and multilayer

simulators within RADICAL. If the pattern is a simple line space pattern then only a few orders

of the Fourier transform along a single axis in k-space are needed to represent the pattern and

therefore only a few different incident plane waves need to be simulated by the ray tracing

simulator. But, if a more complicated pattern is simulated, like a contact or elbow, then many

more orders, and in turn multilayer simulations, are required. The runtime for FDTD simulations

depends only on the number of simulation nodes, not the pattern.

For standard RADICAL, an elbow pattern takes 2.4 times longer than a line space pattern.

However, in the SSA version the elbow pattern only takes 35% longer. This is explained by the

runtime distribution of the two versions. Figure 3-7 shows the runtime distributions for 128nm

line space patterns whose runtimes are shown in Table 3-1

2200

920

Standard

901,892

901,892

TEMPEST

RADICAL

502D Pattern

(Elbow)

371D Pattern

(Lines)

with SSA

2200

920

Standard

901,892

901,892

TEMPEST

RADICAL

502D Pattern

(Elbow)

371D Pattern

(Lines)

with SSA

Table 3-1. Runtimes of TEMPEST, RADICAL and RADICAL with SSA for a 256nm x 256nm mask

area. The unit is the time it takes to run the fft2 function in MATLAB on a 1000x1000 cell matrix

Page 32: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

24

Figure 3-7 shows that for standard RADICAL the runtime is dominated by the multilayer

ray tracing simulations. Therefore, if the number of ray tracing simulations is scaled by some

factor, then the total runtime is scaled by approximately that same factor. For RADICAL with

SSA however, the SSA multilayer simulations are only a small fraction of the total runtime. So

if the number of SSA simulations required is doubled or tripled, it will only cause a few percent

increase in total runtime.

RADICAL also requires much less memory than FDTD. For an accurate simulation of

EUV masks with buried defects, FDTD needs a finer grid than would be necessary for a standard

DUV photomask simulation of the same area. This is due to numerical dispersion. It was shown

in [26] that for incident angles above 10°, the reflection coefficient begins to vary significantly as

a function of simulation grid size. The nominal mask incident angle in EUV lithography is 6°

and disruption of the multilayer by the defect will cause effectively higher angles of incidence

for some locations in the multilayer. This means that FDTD will need a high enough cells per

wavelength value to be accurate for angles above 10°. For this analysis, 40 nodes per

wavelength will be assumed as the cell density required for FDTD. Forty nodes per wavelength

corresponds to a dx value of 0.337nm. An EUV mask simulation domain is approximately

400nm tall. This is determined by the physical EUV multilayer and absorber height. The size of

the matrix needed to store the geometry for FDTD, assuming an Xnm x Xnm mask area, is given

by

Equation 3-1: ( )nodesX

nm

nmX

dx

heightlengthwidthN 42

3

2

310

337.

400⋅≈

⋅=

⋅⋅=

where X is in nm.

For standard RADICAL, the entire multilayer geometry must be stored. But, instead of a

dense grid through all of space, only the locations of material interfaces are stored. This means

that for a multilayer with 40 bilayers, 81 matrices would be needed; two for each bilayer and one

for the capping layer. Also, since the ray tracing algorithm doesn’t suffer from numerical

7%

Propagate

Up Through

Absorber

Perform

SSA

Simulations

Propagate down

through absorber

Standard RADICAL RADICAL with SSA

Perform

Ray Tracing

Simulations

7%

Propagate

Up Through

Absorber

Perform

SSA

Simulations

Propagate down

through absorber

Standard RADICAL RADICAL with SSA

Perform

Ray Tracing

Simulations

Figure 3-7. Runtime distribution for standard RADICAL and RADICAL with SSA

Page 33: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

25

dispersion, it doesn’t require the dense grid that RADICAL does; a dx value of 1nm is sufficient.

The size of the matrix needed to store the geometry for standard RADICAL, assuming an Xnm x

Xnm mask area, is given by:

Equation 3-2: ( )nodesX

nm

X

dx

nlengthwidthN

layers100

1

81 2

2

2

2⋅≈

⋅=

⋅⋅=

where X is in nm.

For RADICAL with SSA only the geometry of top layer of the multilayer needs to be

stored. The size of the matrix needed to store the geometry for standard RADICAL with SSA,

assuming an Xnm x Xnm mask area, is given by:

Equation 3-3: ( )nodesX

nm

X

dx

lengthwidthN 2

2

2

21

≈=⋅

=

where X is in nm.

This analysis shows that for all methods the memory required scales as a function of mask

area simulated. Because RADICAL with SSA ignores the effects of the layers below the surface

it uses about 100 times less memory than standard RADICAL with the ray tracing simulator for

the multilayer. The ray tracing simulator must only store the boundaries between each layer.

Therefore is uses about 100 times less memory than FDTD, which must store a material value

for every simulation point. For example, 100MB of memory could simulate an area of 25µm2

using RADICAL with SSA, 0.25µm2 using standard RADICAL and only 0.0025 µm

2 with

FDTD.

3.3 Summary

The fast simulator RADICAL is able to accurately simulate the reflection from an EUV

mask with a buried defects much more efficiently than FDTD. When the ray tracing method is

used, it’s nearly 1000 times faster and uses 100 times less memory. When the advanced SSA

method is used it is nearly 25,000 times faster than FDTD and uses 10,000 times less memory.

The rest of this dissertation will cover applications of RADICAL to EUV lithography research

and development.

Page 34: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

26

4 Printability of Isolated

Defects This chapter will focus solely on the electromagnetic properties and effects on lithographic

imaging of defects that are not near features, referred to as isolated defects. The results of the

work in this chapter, specifically the through focus behavior of an isolated defect image, will be

important in every chapter of this dissertation.

First the phase nature of defects will be demonstrated through simulation by comparisons

to other types of defects. Then, the role of multilayer smoothing will be investigated and a

simple model for the defect’s aerial image will be introduced along with improvements to the

single surface approximation. Next, the effects of illumination and vertical defect position

within the multilayer stack position will be investigated. Finally, RADIAL will be compared to

actinic inspection images to confirm the conclusions from simulation and demonstrate the

accuracy of RADICAL.

4.1 Phase Nature of Defects

It is common for people in the EUV community to refer to buried defects as phase defects.

This can be a misleading name because buried defects affect both the phase and magnitude of the

reflected field. But, it is true that the dominant effect of buried defects is a phase effect. This is

shown in Figure 4-1, which is a plot of the center intensity of the aerial image of four different

defects through focus. These defects were carefully chosen to have the same full width half max

(FWHM) and center intensity at best focus. Each defect has a FWHM of about 60nm and is

normalized to a defect free background level of 1.0. A FWHM of 60nm is chosen because it is

close to the sizes of the defects created on the Intel programmed defect mask described in Table

2-1. The thin mask amplitude defect, which assumes the defect is square and has a transmission

of zero, has an aerial image center intensity of about 0.55. The required heights of the actual

buried defects and phase of the thin mask phase defect were determined by iteration. Figure 4-1

is summary of the parameters for all four defects.

Table 4-1. Summary of defects simulated in Figure 4-1. The height and width are defined on the surface of the

multilayer.

Defect Type FWHM (nm) Height (nm) Phase (degrees) Transmission

(Fraction of Incident)

Real Bump 60 4.0 not applicable not applicable

Real Pit 60 -5.5 not applicable not applicable

Thin Mask

Amplitude 60 not applicable not applicable 0

Thin Mask Phase 60 not applicable 100 1.0

Figure 4-1 shows that the ideal amplitude defect has its lowest intensity when it is in focus.

The ideal phase defect, however, has the lowest intensity out of focus and the intensity inverts

through focus. The buried defects, like ideal phase defect, have their worst case intensity out of

focus. But, they cannot simply be modeled as ideal phase defects because even though the

Page 35: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

27

intensity value is the same in focus, the swing through focus is much larger for the buried

defects. It is also interesting to note that although the pit defect has the same FWHM on the

mask as the bump defect, it requires a larger surface height to produce the same center intensity

at best focus. But, through focus its worst case intensity is lower than the worst case for the

bump defect. Also, worst case for a pit occurs at positive focus and the worst case for a bump is

at a negative focus value.

Figure 4-1. Center intensity of the aerial image of four types of defects. NA=0.32 σ=0.75.

4.2 Simple Model for Isolated Defects

Although a simple thin mask constant phase defect model does not correctly predict the

image intensity behavior of a buried defect through focus, if a constant smoothing model is

assumed there is a simple relationship between the surface height and resulting image of the

isolated defect that holds for a wide range of defect shapes and sizes. The development of this

model will be presented in this section. First, the effects of multilayer smoothing will be studied

by using simulation to artificially control the smoothing process to hold certain geometric

properties of the multilayer constant while varying others. Then, a realistic smoothing model

will be used to develop an algebraic defect image intensity model.

4.2.1 Introduction to Smoothing

Multilayer smoothing during deposition has been shown to effectively reduce printability

of substrate defects. When multilayer smoothing is applied during deposition, the height of the

defect is reduced for each layer deposited. So, after 40 bilayers have been deposited the resulting

surface height of the defect is much less than the substrate height. Smoothing has a more

complicated effect on surface defect width. For the model discussed in this section, the

smoothing process causes the surface width to be relatively independent of substrate defect

width. A mathematical model has been developed to describe this growth process [43]. This

model will be used, with the specific input parameters varied, for all the simulations in this

section.

-250 -200 -150 -100 -50 0 50 100 150 200 2500

0.2

0.4

0.6

0.8

1

Focus (nm)

Cente

r Im

age Inte

nsity

Center Intensity of Aerial Image Through Focus

Real Buried Bump:: 4nm Surface Height

Real Buried Pit: -5.5nm Surface Height

Thin Mask Amplitude Defect

Thin Mask Phase Defect

Page 36: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

28

Figure 4-2 shows a set of growth results, surface defect height and width as a function of

buried defect size, from a programmed defect mask fabrication experiment and simulation. The

smoothing parameters used for these simulations were tuned to match the experimental growth

results. The experimental mask is the Intel programmed defect mask described in Section 2.4.4.

The programmed substrate defects each have a constant height of 48nm, and square bases with

widths that varied from 60nm to 90nm. The results in Figure 4-2 show that the final surface

defects all have relatively constant widths of about 50-60nm but heights that vary from 1.5nm to

5.5nm. This is surprising because the heights of the buried defects were constant and the widths

were varied. These results demonstrate an important feature of this smoothing model: surface

defects from arbitrary buried defect sizes have fairly constant widths. The surface height is what

is determined by the buried defect size and shape. The values of the smoothing parameters

defined in [43] which were used to match the programmed defect mask results are summarized

in Table 4-2. The two parameters adjusted to control the surface defect size for a given substrate

defect were “Si etched per bilayer”, which primarily effected the surface height and $%dep

which

primarily affected the surface width.

Figure 4-2. Comparison of experimental and simulated growth results for a defect with buried a height of 48nm and

a square base with varying width.

Table 4-2. Multilayer growth parameters used to match Intel programmed defect mask

Growth Parameters Value

Number of bilayers (N) 40

Bilayer Thickness (nm) 7.0

Contraction per bilayer (nm) 0.8

Si etched per bilayer (nm) .91

$)

dep (unitless) 0

$%

dep (nm) 0.47

$*

dep (nm2) 0

$+

dep (nm3) 6.0

$)etch (unitless) 0.3

$%etch (nm) 0

$*etch (nm2) 0

$+etch (nm3) 0

60 65 70 75 80 85 900

1

2

3

4

5

6

Buried Width (nm)

Surface H

eig

ht (n

m)

Surface Height as a Function of Buriend Width for Buried Height=48nm

Berkeley Sim

Intel Experiment

60 65 70 75 80 85 900

1

2

3

4

5

6

Buried Width (nm)

Surface H

eig

ht (n

m)

Surface Height as a Function of Buriend Width for Buried Height=48nm

Berkeley Sim

Intel Experiment

60 65 70 75 80 85 900

10

20

30

40

50

60

70Surface Width as a Function of Buriend Width for Buried Height=48nm

Surface W

idth

(nm

)

Buried Width (nm)

60 65 70 75 80 85 900

10

20

30

40

50

60

70Surface Width as a Function of Buriend Width for Buried Height=48nm

Surface W

idth

(nm

)

Buried Width (nm)

Page 37: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

29

4.2.2 Analysis of Smoothing

Figure 4-3. Definition of dip strength

The flexible model defined in [43] allows interesting and physically impossible

simulations to be performed. These simulations allow the effects of certain parameters of the

multilayer to be isolated to determine what is important for a fast model. For example, the

smoothing model parameters can be varied to force a constant surface defect size for varying

buried defect sizes. Also, for constant buried defects the model parameters can be adjusted to

produce different surface defects. The reflected fields and aerial images produced by these two

sets of geometries give important insight into what geometrical aspects are important. All

simulations were done in three-dimensions. The geometries shown in the figures are cutlines.

The Gaussian defects are rotationally symmetric. The box defects, referred to as “tetra,” have

square bases.

The next few sections of this chapter will artificially create defect geometries and compare

their printability. This will help to isolate which geometric properties of the defective multilayer

have the largest effect on the final aerial image. All aerial image simulations in Section 4.2 are

for 0.25NA, partial coherence (σ) of 0.75 and are at best focus.

4.2.2.1 Constant Surface Geometry Defect Simulations Figure 4-4 shows two multilayer geometries with the same size surface defect FWHM and

height, but different buried defects. Both geometries have a surface defect with a height of

3.86nm and a full width half max (FWHM) of 67.5nm. The smoothing process parameters were

adjusted by an iterative method to produce these geometries. The left geometry in Figure 4-4 has

a Gaussian buried defect with a height of 10nm and a FWHM of 50nm, the right geometry has a

height and FWHM of 50nm. The resulting aerial image dip strengths are plotted in Figure 4-5.

The dip strength is defined in Figure 4-3.

0 50 100 150 200 250 300 350 400 450 5000

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

1

Icenter

Ibackground

background

centerbackground

I

IIDip

−=

Page 38: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

30

Figure 4-4 Multilayer geometries with smoothing model parameters adjusted to force a constant surface defect.

Both the results from the ray tracing simulator [26] and the single surface approximation

[36] are shown, the differences between the two results are discussed below. The dip strength of

the aerial image is nearly constant for the constant surface defects. This is a surprising result

considering the apparent differences in the lower layers of the multilayer geometries shown in

Figure 4-4. It suggests that only the top surface of the multilayer determines that reflection.

This is an encouraging result for modeling and inspection because if only information from the

top layer is needed then a reduced model that doesn’t require the full defective mask blank

geometry is possible. Upon closer inspection of the multilayer geometries in Figure 4-4, the

results in Figure 4-5 are not that surprising. Most of the light reflected from an EUV mask does

not penetrate very deeply into the multilayer stack so only the top few layers are important for

predicting the reflected field. In these two geometries, the layers near the top are nearly

identical.

50 100 150 200 250 300 350 400 450 5000

50

100

150

200

250

300

Heig

ht (n

m)

50 100 150 200 250 300 350 400 450 5000

50

100

150

200

250

300

Heig

ht (n

m)

50 100 150 200 250 300 350 400 450 5000

50

100

150

200

250

300

X Distance (nm)

Heig

ht (n

m)

50 100 150 200 250 300 350 400 450 5000

50

100

150

200

250

300

X Distance (nm)

Heig

ht (n

m)

X Distance (nm)X Distance (nm)

5 10 15 20 25 30 35 40 450

0.2

0.4

0.6

0.8

1

Buried Defect Height (nm)

Dip

Strength

(I b

ackg

round-Icente

r)/Ibackg

round

Aerial Image Dip Strength for Constant Surface Defect Height 3.86nm and (FWHM): 68nm

Ray Tracing

SSA

Figure 4-5. Aerial image dip strength as a function of buried

defect height for a constant surface defect size. NA=0.25 σ=0.75

Page 39: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

31

Figure 4-6. Multilayer geometries with smoothing model parameters adjusted to force produce different surface

defects for the same buried defect

3.4 3.6 3.8 4 4.2 4.4 4.6 4.8 5 5.2 5.40

0.2

0.4

0.6

0.8

1

Surface Defect Height (nm)

Dip

Strength

(I b

ackg

round-Icente

r)/Ibackg

round

Aerial Image Dip Strength for Constant Buried Gaussian Defect Height 30nm and (FWHM): 50nm

Ray Tracing

SSA

Figure 4-7. Aerial image dip strength as a function of surface defect height for a constant buried defect size.

NA=0.25 σ=0.75

4.2.2.2 Constant Buried Defect Geometry Simulations Figure 4-6 shows two multilayer blank geometries with identical buried Gaussian defects

30nm tall and 50nm wide, but different surface defects. The smoothing model parameters used

are two cases from the constant surface defect simulations above. The top geometry was

produced by etching 0.24nm of Si for each bilayer deposition and the bottom geometry was

created by etching 1.18nm. The geometries look very similar. The upper geometry has a surface

defect height of 3.28nm and the lower geometry has a surface defect height of 5.47nm. Figure

4-7 shows the resulting dip strength of the aerial image from these geometries and others with a

constant buried defect. The minimum dip strength is 0.5 and the maximum is 0.8. This is a

much more significant increase than that seen in Figure 4-5 for the constant surface defect case.

Although the geometries in Figure 4-6 look very similar, discovering they produce very different

images is not very surprising. The minimum defect height is 24% of the 13.5nm wavelength and

the maximum defect height is 41% of the wavelength. The interference caused by phase defects

of this size relative to the wavelength should be different due to the different phases of the

reflected orders created by the defect. These constant buried defect geometry results support the

evidence from the constant surface geometry defect simulations that suggest that the surface

defect profile is what affects printing. Physically small defects on the multilayer surface caused

non-trivial variation in the resulting aerial image.

50 100 150 200 250 300 350 400 450 5000

50

100

150

200

250

300

Heig

ht (n

m)

X Distance (nm)

50 100 150 200 250 300 350 400 450 5000

50

100

150

200

250

300

X Distance (nm)

Heig

ht (n

m)

X Distance (nm)X Distance (nm)

Page 40: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

32

Figure 4-8 gives even more insight into what parameters are important for a fast model. It

shows the reflected phases for the two groups of simulations shown above. For the constant

defect case, the reflected phase is virtually unchanged between the simulations. For the constant

buried defect simulations, there is significant variation between the simulations. This variation

in phase corresponds to the variation in dip strength shown above. This suggests that predicting

the reflected phase is critical to predicting the aerial image dip. If this is true, than the single

surface approximation (SSA) model proposed by Gullikson in [36] should be a good model for

masks with buried defects. This will be addressed later in this chapter.

4.2.2.3 Constant Smoothing Process Simulation

The two sets of simulations above used artificial smoothing model parameters to isolate the

effects of the surface and lower layers of the mask. To develop a useable model, more realistic

simulations are needed. The goal of the following simulations is to develop a very fast model for

defect printability. The previous simulations have suggested that by using only the surface

information, the dip strength can be predicted. This set of simulations will be used to quantify

that into a new fast model. Figure 4-2 shows actual experimental data along with simulation

results calibrated to match the data. The following simulations were performed using these

X Distance (nm)

0 100 200 300 400 500-2

0

2

4

6

X Distance (nm)P

hase o

f N

ear Fie

ld (ra

d)

5.47nm

3.28nm

Constant Surface Defect Constant Buried Defect

0 100 200 300 400 500-2

0

2

4

6

X Distance (nm)

Phase o

f N

ear Fie

ld (ra

d)

X Distance (nm)

0 100 200 300 400 500-2

0

2

4

6

X Distance (nm)P

hase o

f N

ear Fie

ld (ra

d)

5.47nm

3.28nm

Constant Surface Defect Constant Buried Defect

0 100 200 300 400 500-2

0

2

4

6

X Distance (nm)

Phase o

f N

ear Fie

ld (ra

d)

Figure 4-8. Phases of reflected nearfields for the two types of defective blank geometries simulated. Labels

correspond to surface defect height

0 0.5 1 1.5 2 2.5 3 3.5

x 105

0

2

4

6

8

10

Buried Defect Volume (nm3)

Surface H

eig

ht (n

m)

Surface Height

0 0.5 1 1.5 2 2.5 3 3.5

x 105

0

20

40

60

80

100

Buried Defect Volume (nm3)

Surface W

idth

(nm

)

Surface Width

Gauss_w75

Gauss_w50

Tetra_w50Tetra_w75

Gauss_w75

Gauss_w50

Tetra_w50

Tetra_w75

Figure 4-9. Surface defect height and width as a function of buried defect volume. The labels correspond

to the shape and width of the buried defect. The resulting height and width appears to be a predictable

function of height for a given buried shape and width, but this function is different for different buried

shapes and widths.

Page 41: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

33

smoothing model parameters for many different sizes and shapes of substrate defects. The

results of the smoothing simulations are shown in Figure 4-9.

Figure 4-9 shows the complexity of the smoothing process. The surface defect size

depends on the buried defect’s shape and size and there does not appear to be any simple model

to predict the surface defect size. This strong dependence on shape means that quickly predicting

the growth, and resulting image, based on knowledge of the substrate defect only would be very

difficult.

The resulting simulated reflected electric fields for the all of the geometries shown in

Figure 4-9 are shown in Figure 4-10. These near field dip strength results are not a simple

function of surface defect height. The four branches in the dip strength plot, corresponding to

the four branches in the plots in Figure 4-9, suggest that the more complicated lower layer

geometries, not just the surface profile, affect the reflected electric field magnitude. This makes

a simple model for the near field dip strength impossible.

4.2.3 Algebraic Isolated Defect Model

Figure 4-11 shows the resulting aerial images computed from the electric fields in Figure

4-10. These results show the same single parameter dependence as the constant buried and

constant surface defect cases. The major reason for the single parameter dependence is the

smoothing process. Due to the smoothing process, the final height and width are correlated and

the shape of the top surface of the multilayer is independent of buried defect shapes. Also, the

surface profile is always very close to Gaussian for any buried defect geometry. This single

parameter dependence means a simple and fast model for the aerial image is possible.

It is important to note that the aerial image drip strength could be predicted by a single

parameter, even though the nearfield could not be. The aerial image can be roughly thought of

as a low-pass filtered version of the near field intensity. If low-pass filtering reduces the effects

of the lower layers, it suggests that these lower layers produce reflections at higher angles which

do not affect the image at this numerical aperture (NA), but could at higher NA.

0 1 2 3 4 5 6 7 8 9 100

0.1

0.2

0.3

0.4

0.5

0.6

0.7

Surface Defect Height (nm)

Dip

Strength

: (b

ackgro

und-c

ente

r)/b

ackgro

und)

Near Field Dip Strength

0 50 100 150 200 250 300 350 400 450 5000.2

0.4

0.6

0.8

1

Reflected Near Field

X Distance (nm)

Magnitude N

ear Fie

ld(E

)

0 50 100 150 200 250 300 350 400 450 500-5

0

5

10

X Distance (nm)

Phase o

f N

ear Fie

ld (ra

d)

0 1 2 3 4 5 6 7 8 9 100

0.1

0.2

0.3

0.4

0.5

0.6

0.7

Surface Defect Height (nm)

Dip

Strength

: (b

ackgro

und-c

ente

r)/b

ackgro

und)

Near Field Dip Strength

0 50 100 150 200 250 300 350 400 450 5000.2

0.4

0.6

0.8

1

Reflected Near Field

X Distance (nm)

Magnitude N

ear Fie

ld(E

)

0 50 100 150 200 250 300 350 400 450 500-5

0

5

10

X Distance (nm)

Phase o

f N

ear Fie

ld (ra

d)

Figure 4-10. Reflected magnitude, phase, and dip strength of the magnitude for the electric fields reflected from

EUV mask blanks created with experimentally based smoothing parameters

Page 42: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

34

Figure 4-12 Linear portion of aerial image dip strength from Figure 4-11 with linear fit.

While the surface height itself depends on the buried defect size and shape as well as the

smoothing process used during multilayer deposition, Figure 4-12 shows that the dip strength of

the aerial image at best focus can be approximated by a linear model for small defects that

depends only on the surface defect height. This means that the results of a simulation that would

take days with TEMPEST and minutes with RADICAL can now be predicted instantly. The

following formula gives the dip strength as a function of surface defect height.

Equation 4-1:

4.2.4 Tuned Single Surface Approximation

The algebraic model above is more accurate than the single surface approximation, though

it actually requires less information than SSA. Unlike the single surface approximation, this

model has no physical basis; it is just a curve-fit of simulation results. The single surface

approximation on the other hand has real physical meaning. It turns out that SSA can be

modified slightly to produce better results while still being physically based.

0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5-0.1

0

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8Linear Approximation of Aerial Image Dip Strength

Surface Defect Height (nm)

Dip

Strength

(I b

ackg

round-Icente

r)/Ibackg

round

094.0191.0)( 1 −⋅= − hnmhDip

0 50 100 150 200 250 300 350 400 450 5000.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

Aerial Image Cutlines

Aerial Im

age Inte

nsity (E2)

X Distance (nm)

1 2 3 4 5 6 7 8 90

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

1

Surface Defect Height (nm)

Dip

Strength

(I b

ackg

round-Icente

r)/Ibackg

round

Aerial Image Dip Strength for Constant Smoothing Model

Figure 4-11. Reflected aerial image and aerial image dip strength from EUV mask blanks created

with experimentally based smoothing parameters. NA=0.25 σ=0.75

Page 43: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

35

In Figure 4-5, Figure 4-7 and the left plot of Figure 4-14 below, it is clear that SSA

consistently under predicts the printability of buried defects. The reason for the error is shown

clearly in Figure 4-13. On the left, the reflected phases from the standard SSA model and ray

tracing simulator are shown. The SSA phase change due to the defect is less than the actual

phase change calculated by ray tracing. This is an important result because it shows that even

though the reflected phase is determined mainly the surface defect profile, it is not just a phase

correction based on path difference as SSA assumes. There is actually a more pronounced effect

due to the lower layers in the multilayer. Since, due to smoothing, each layer has a slightly

lower defect height than the defect below it, using a lower layer as the “single surface” in SSA

produces more accurate results. For this smoothing model it turned out that using the third layer

from the top makes the results of SSA most accurate. Because the light reflected does propagate

down to layers below the top surface, using a lower layer as an effective or average single

surface is a physically reasonable model. The right plot in Figure 4-13 shows that using this

lower layer produces a more accurate reflected phase. The right plot in Figure 4-14 shows that

for small defects this modified SSA becomes more accurate than the standard SSA method.

Small defects, less than 4nm on the multilayer surface, are assumed to be the most important

because as substrate quality and smoothing methods improve large defects will be rare, but small

defects that still affect printing will remain.

It’s important to note that in [36] SSA was introduced and used with a separate

approximate method to predict the aerial image. Previous publications have used both SSA and

the approximate aerial image method and concluded that SSA was very inaccurate [37]. Figure

4-14 shows that when a more rigorous and accurate aerial image simulator is used, the near fields

generated by SSA are fairly accurate, even without the lower surface modifications.

Also, the accuracy variation of SSA with varied smoothing is shown in Figure 4-7. As the

surface defect size increases, the effects of smoothing decrease. Therefore, the top surface layer

profile is a better approximation for the lower layer profiles, making SSA more accurate

0 50 100 150 200 250 300 350 400 450 500

0

1

2

3

4

X Distance (nm)

Phase o

f N

ear Fie

ld (ra

d)

SSA Phase

Ray Tracing Phase

0 50 100 150 200 250 300 350 400 450 500

0

1

2

3

4

X Distance (nm)

Phase o

f N

ear Fie

ld (ra

d)

SSA Phase

Ray Tracing Phase

0 50 100 150 200 250 300 350 400 450 500

0

1

2

3

4

X Distance (nm)

Phase o

f N

ear Fie

ld (ra

d)

SSA Phase

Ray Tracing Phase

0 50 100 150 200 250 300 350 400 450 500

0

1

2

3

4

X Distance (nm)

Phase o

f N

ear Fie

ld (ra

d)

SSA Phase

Ray Tracing Phase

Figure 4-13. Comparison of phase of reflected field between SSA and ray tracing from a 3.2nm tall surface

defect. Left: Standard SSA Model. Right: Modified SSA model using lower layer

Page 44: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

36

1 2 3 4 5 6 7 8 90

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

1

Surface Defec t Height (nm)

Dip

Strength

(I b

ackgro

und-Icente

r)/I b

ackg

round

Aerial Image Dip S trength for Cons tant Sm oothing M odel

Ray Trac ing

M odified SSA

1 2 3 4 5 6 7 8 90

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

1

Surface Defec t Height (nm )

Dip

Strength

(I b

ackg

round-Icente

r)/I b

ackg

round

Aerial Im age Dip S trength for Cons tant Smoothing Model

Ray Trac ing

SSA

1 2 3 4 5 6 7 8 90

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

1

Surface Defec t Height (nm)

Dip

Strength

(I b

ackgro

und-Icente

r)/I b

ackg

round

Aerial Image Dip S trength for Cons tant Sm oothing M odel

Ray Trac ing

M odified SSA

1 2 3 4 5 6 7 8 90

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

1

Surface Defec t Height (nm )

Dip

Strength

(I b

ackg

round-Icente

r)/I b

ackg

round

Aerial Im age Dip S trength for Cons tant Smoothing Model

Ray Trac ing

SSA

4.3 Effects of Illumination

The algebraic isolated defect model is accurate only for predicting the dip strength of the

image of an isolated defect for a certain optical system. This is because the image of an isolated

buried defect is strongly dependent on the illumination. Figure 4-15 shows the center intensity

of a buried defect for the three types of illumination, with the dipole and annular illuminations

optimized for printing 16nm line space patterns. The inversion of the center intensity does not

occur with annular and dipole illumination. Also, the minimum intensity, around -75nm

defocus, does not appear to be as bad for these illuminations as it is for tophat. Although the

center intensity values are nearly identical for annular and dipole illuminations, it is important to

note that the images are quite different, as shown in Figure 4-16. This suggests that for

illuminations other than tophat, the center intensity of an isolated defect image is not a reliable

metric to judge the impact of a defect.

Figure 4-15.Center intensity of the aerial image a buried defect as a function of wafer focus level for three

illuminations

-200 -150 -100 -50 0 50 100 150 2000.3

0.4

0.5

0.6

0.7

0.8

0.9

1

Focus (nm)

Cente

r Im

age Inte

nsity

Center Intensity of Aerial Image Through Focus

Tophat

Annular 16nm

Dipole 16nm

Background Intensity

Figure 4-14. Plots of aerial image dip strength for ray tracing and SSA as a function of surface

defect height. Left: Standard SSA method on top surface. Right: Modified SSA method using

lower surface

Page 45: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

37

Figure 4-16. Image of isolated buried defect at +125nm defocus for three illuminations

4.4 Mask blank inspection

There are currently no commercially viable actinic, meaning at EUV wavelength,

inspection tools for EUV masks or mask blanks. There is some debate in the industry whether or

not actinic mask inspection tools are necessary, or if optical tools are good enough. If an actinic

blank inspection tool is not used, an existing tool with a longer wavelength would be used. Two

possible tools are the Lasertec M7360, which operates at a wavelength of 266nm [44], and the

KLA-Tencor Teron which operates at a wavelength of 193nm [45]. The major difference

between these tools and an actinic tool, is the penetration of the inspection light into the EUV

multilayer. The actinic light will penetrate many layers into the multilayer and the resulting

inspection image will be affected by many layers below the surface. The longer wavelengths,

however, will not penetrate into the multilayer and therefore the inspection image will be a result

of only the surface geometry.

The results in this chapter suggest that non-actinic light will be adequate for EUV mask

blank inspection. It was shown that the surface height is what determines the image of an

isolated defect. Therefore, all an inspection system needs to do is look at the surface profile, so

using a wavelength of light able to penetrate the multilayer is not necessary.

There two important caveats to this conclusion. The first is that all of this analysis

assumed a smoothing model that made each layer very similar to the layer below it. This meant

that the surface layers of all the simulated masks were very similar to the layers near the surface.

If a multilayer deposition scheme is used for which this is not true, the conclusion that surface

inspection is adequate may not be true.

The second caveat is that surface inspection will likely result in many false defects being

detected. Because EUV light penetrates into the multilayer, a defect that is only on the surface,

and not on each layer before the surface as well, may not be printable in an EUV scanner, but it

would be detected by a non-actinic tool.

Examples of defects that would appear identical in a surface inspection, but different in an

actinic inspection of wafer print are shown in Figure 4-17 and Figure 4-18. Figure 4-17 shows

three defects on the surface, in the middle, and on the substrate of a blank. The surface profile of

each is identical. Figure 4-18 shows the resulting images of isolated defects deposited on

different layers. The defect on bilayer one, which is basically a surface defect, has the smallest

dip while the substrate defect, which affects every layer has the largest dip. The defect on layer

one would be a false defect in most situations.

Tophat Annular Dipole

Page 46: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

38

Figure 4-17. Example refractive index map of three different of 10nm tall defects. Each defect was deposited on a

different layer of the multilayer. The deposition model assumes the layers below the defect are perfect and above

the defect are uniform.

Figure 4-18. Aerial image cutlines for Si and Mo defects on various layers.

These sorts of false defects have been seen in experiments. For example, research by

LaFontaine [7] has shown defects that are clearly visible in scanning electron microscope (SEM)

images of the mask. But, no effect of the defect is visible in the resulting wafer image. This

observation is likely due to a defect on the surface of the multilayer with a defect free multilayer

below. Because all materials have a refractive index near unity at 13.5nm, a surface defect that

is visible in an SEM may not cause any visible change in the wafer image. This work also shows

the opposite effect: a defect that is invisible in an SEM image but causes an unacceptable change

in the resulting wafer printing. This is most likely due to a substrate defect causing a surface

defect that is too short to be visible in an SEM, but large enough to have a serious effect on the

wafer image.

4.5 Isolated Defect Experiments

The dependence of isolated defect printability on size and focus shown in the previous

sections through simulation was confirmed by experiments on the Actinic Inspection Tool (AIT).

Two buried defects were chosen from the Intel programmed defect mask (PDM) described

earlier, one with a surface height of 2nm and the other with a surface height of 5.3nm. The

results of the experiments and simulations are shown in Figure 4-19 and Figure 4-20.

The AIT is not aberration free [46]. A method to use isolated defect images through focus

to determine the aberrations present in the AIT is described in the next chapter. That method

was used in the RADICAL simulations here to determine the aberrations present in the system.

An astigmatism value of 0.2 waves RMS (root mean squared) and a spherical aberration value of

0.025 waves RMS was used in the simulations in this section. These are much higher aberration

Si Defect on bilayer 20

Mo Defect on bilayer 40

(substrate)

Mo Defect on bilayer 1

0 50 100 1500

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

Distance (nm)

Aerial Im

age Inte

nsity

Bilayer: 40

Bilayer: 35

Bilayer: 30

Bilayer: 25

Bilayer: 20

Bilayer: 15

Bilayer: 10

Bilayer: 5

Bilayer: 1

Page 47: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

39

values than would be expected in a production quality lithography tool, but are not as high as the

aberrations found in the next chapter. The images in this section were taken in December 2008

whereas the images in the next chapter were taken in August 2007. In that 8-month period

significant improvements were made by the team at Lawrence Berkeley National Lab to reduce

the aberrations of the AIT.

Figure 4-19. Through focus aerial images of isolated buried defect resulting in a 2nm tall surface defect. The label

on each column is the mask focal position. The top row is of oversampled images from the AIT. The bottom row is

images calculated by RADICAL.

Figure 4-20. Center intensity of aerial images for defects measured on AIT and calculated by RADICAL.

Figure 4-19 shows good quantitative agreement between RADICAL and the experimental

data for images of the 2nm tall defect. The inversion of the center intensity is obvious. The

effects of astigmatism are present as well. One effect of astigmatism present is the rotation of

the defect image counter-clockwise through focus. The second effect is the saddle shape of the

image near best focus. This is obscured by the noise in the AIT image but is obvious in the

RADICAL simulation. The fact that all of these effects are present in the AIT images and

RADICAL simulations suggests that the near fields calculated in RADICAL and the aerial image

model parameters used in Panoramic EMSuite are accurate.

Figure 4-20 shows a quantitative comparison of the center intensity values calculated by

RADICAL and measured on the images from the AIT. The match is very good for the 2nm

defect through focus. The match is not quite as good for the 5.3nm defect for positive focus.

Orders reflected at higher angles contribute most to the changes in aerial image compared to the

-3.6µm -2.8µm -2.1µm -1.3µm -0.6µm 0.2µm 1.0µm 1.7µm 2.5µm 3.2µm

-3 -2 -1 0 1 2 30

0.5

1

1.5

2

Focus (um)

Cente

r Im

age Inte

nsity

Center Intensity of Aerial Image Through Focus

Simulated 2nm Bump

Simulated 5.3nm Bump

Experiment AIT 2nm Bump

Experiment AIT 5.3nm Bump

Background Intensity

Page 48: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

40

in focus case. This is due to the orders passing near the edge of the pupil undergoing a larger

phase change than the background. That means that the differences between the AIT and

RADICAL results are due to higher angles. One possible explanation for the difference in light

reflected at higher angles is that the lower layers of the multilayer are not modeled correctly in

RADICAL. The ray tracing simulator in RADICAL assumes that each layer, while distorted, is

composed of one material and totally separate from the other layers. These distinct layers will

cause reflections at high angles, because the lower layers are affected more by the defect than

upper layers due to smoothing. In reality, the layers an EUV mask near the defect will not be

perfectly distinct. If RADICAL predicts more light scattered at high angles than a real EUV

mask actually produces it could explain the differences seen for positive focus in Figure 4-20.

Since the defect is smaller for the 2nm case, this effect would not be as bad, which explains why

the 2nm defect matches the actual AIT images better than the 5.3nm defect.

4.6 Summary

Studying isolated defects reveals a lot about their electromagnetic properties and effects on

lithographic imaging. The most important result in this chapter, which was demonstrated

repeatedly, is that buried defects primarily effect the phase of the reflected field. This produces

an inversion in the defect aerial image intensity through focus. The magnitude of the phase

change is determined primarily by the surface geometry of the defect. In fact, for defects with a

surface height less than 4.5nm, the center intensity of the aerial image is a linear function of the

surface height. The SSA model was also improved upon by accounting for the average

propagation into the multilayer of the incident light. The final section of this chapter compared

simulated RADICAL results to experimental AIT images to confirm the effects observed in the

simulations as well as the accuracy of RADICAL. The complexities of these comparisons,

specifically determining the aberrations in the AIT, are covered in detail in the next chapter.

Page 49: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

41

5 Using Isolated Buried

Defects to Extract

Aberrations The Actinic Inspection Tool (AIT) at Lawrence Berkeley National Laboratory is the most

advanced actinic, meaning it uses EUV light, tool in the world for capturing the aerial image of

an EUV mask. However, because it employs a zone plate for imaging and does not have state of

the art alignment controls it is susceptible to significant aberrations. A novel method was

developed to use RADICAL to extract the aberrations present in the AIT from through focus

images of a buried EUV mask defect. The data in this chapter was collected in August 2007.

The numerical aperture (NA) was 0.0625 at the mask. This is the same mask NA as a 4x

reduction scanner with a wafer NA of 0.25. The wavelength employed by the AIT is 13.4nm.

The focus values refer to the mask focus position and the focus is adjusted by physically moving

the mask up and down. One Rayleigh Unit of defocus is 1.7µm.

The presence of the aberrations mentioned in the previous chapter is obvious in the images

of isolated buried defects through focus shown in Figure 5-1. The physical defects in the mask

are symmetric, but the AIT images rotate from an ellipse with its major axis pointing northeast at

-7.6µm defocus to an ellipse with its major axis pointing northwest for +7.7µm defocus. This is

caused by astigmatism, as will be shown below. Figure 5-2 shows the initial comparisons

between the center intensity of the buried defect images from RADICAL and the AIT. It is

obvious that both the absolute intensities and trends in intensity through focus do not match.

It will be demonstrated that the magnitude of the aberrations present in the AIT can be

extracted by matching the center intensity of through focus AIT images with simulations

modeling the aberrations. It turns out that each aberration affects a different feature of the center

intensity versus focus curve, so the extraction does not require the simulation of every possible

aberration combination. In fact, each aberration focus combination must only be simulated once,

with the other aberrations fixed.

Focus: -7.6nm

X Distance (um)

Y D

ista

nce (

um

)

0 0.5 1

0

0.5

1

Focus: -3.6nm

X Distance (um)

Y D

ista

nce (

um

)

0 0.5 1

0

0.5

1

Focus: 0.3nm

X Distance (um)

Y D

ista

nce (

um

)

0 0.5 1

0

0.5

1

Focus: 4nm

X Distance (um)

Y D

ista

nce (

um

)

0 0.5 1

0

0.5

1

Focus: 7.7nm

X Distance (um)

Y D

ista

nce (

um

)

0 0.5 1

0

0.5

1

Focus -7.6µm Focus -3.6µm Focus 0.3µm Focus 4µm Focus 7.7µm

Figure 5-1. AIT aerial image of an isolated buried defect with a surface height of 6.2nm and a FWHM of 58nm

Page 50: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

42

5.1 Astigmatism

Figure 5-3 shows the simulated aerial image out of focus with increasing levels of

astigmatism. The higher the astigmatism the more elliptical and rotated the defect image

becomes. This rotation is similar to the rotation in the images in Figure 5-1. The addition of

astigmatism into the simulation also affects the center defect intensity through focus. Figure 5-4

shows this effect. As astigmatism is increased, the slope of the curve decreases and the

maximum and minimum intensity points become less extreme. An astigmatism value of 0.55

waves matches the slope of the experimental values best around zero defocus.

Astig =0 Astig =0.2 Astig =0.4 Astig =0.6 Astig =0.8

Figure 5-3. RADICAL simulation of a 6.2nm tall (surface height) buried defect -5µm out of focus with

varying astigmatism values. The units of astigmatism are waves.

-10 -8 -6 -4 -2 0 2 4 6 8 100

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6

1.8

2

Focus

Inte

nsity

exp: defect 1

exp: defect 2

exp: defect 3

sim: NA=0.0625

Focus (µm)

Center Intensity of Aerial Im

age

-10 -8 -6 -4 -2 0 2 4 6 8 100

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6

1.8

2

Focus

Inte

nsity

exp: defect 1

exp: defect 2

exp: defect 3

sim: NA=0.0625

Focus (µm)

Center Intensity of Aerial Im

age

Figure 5-2. Comparison of center image intensity between RADICAL simulations and experiments. The

solid line is the RADICAL simulation with no aberrations included and the dotted lines are the experiment.

Page 51: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

43

5.2 Spherical

Astigmatism is not the only aberration present. As Figure 5-2 shows, for the high negative

defocus region the aerial image center intensity predicted by simulation is higher than the

experimental values. This is not accounted for by the addition of astigmatism. Aerial image

simulations have shown that adding spherical aberration reduces the intensity for these focus

values. Figure 5-5 shows the effect of adding various levels of spherical aberration to the

simulation. There is a minor effect on the slope near zero defocus, a large lateral shift in the

curve, and a drop in the center intensity values for the negative defocus region. A spherical

aberration level of 0.1 waves matches the experimental results best in this region. The addition

of this spherical aberration to the optical model improved the center intensity match between

RADICAL simulation and the AIT images. Also, comparing Figure 5-3 and Figure 5-6 show

that the shape of the image is rounder and closer to the AIT image after the addition of the

spherical aberration. This suggests that spherical aberration is present and the match in the

center intensity is not a coincidence.

-10 -8 -6 -4 -2 0 2 4 6 8 100

0.5

1

1.5

2

2.5

Focus

Inte

nsity

Experiment: Defect 1

Experiment: Defect 2

Experiment: Defect 3

Simulation: astig=0.0

Simulation: astig=0.2

Simulation: astig=0.4

Simulation: astig=0.6

Simulation: astig=0.8

Intensity

Focus (µm)

Comparison of Intensity at Center of Defect

Figure 5-4. Change is center intensity through focus as a function of astigmatism. The astigmatism tends to

flatten the curve. The units of astigmatism are waves

Page 52: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

44

5.3 Coma

When the astigmatism and spherical aberrations are included the center intensity of the

simulation matched the AIT experiments very well. But, comparing the images themselves

shows one subtle difference, an asymmetry in the intensity in the dark center area between the

northwest and southeast sides. This is due to a relatively small coma aberration. Figure 5-6

shows the comparison of an AIT image with RADICAL simulation for -4.6µm of defocus.

Three coma values are used as examples to show increasing asymmetry for higher values of

coma. A coma value of 0.1 matched the experimental images best.

The final plot of the center intensity comparison between the AIT images and RADICAL

simulations, which include all of the aberrations discussed above, is shown in Figure 5-7 along

with a few example images. These images show that the effect of the aberrations on the shapes

of the images is predicted correctly by RADICAL, even though the shapes of the images near

zero focus were not considered during aberration extraction.

Coma = 0 Coma =0.07 Coma = 0.14Experiment Simulation Simulation Simulation

Coma = 0 Coma =0.07 Coma = 0.14Experiment Simulation Simulation Simulation

Figure 5-6. Comparison of experimental aerial image with RADICAL simulation for negative defocus and

varying coma values. The asymmetry increases for higher coma values. For these images astigmatism =

0.55, and spherical = 0.10. The units for all aberrations are waves.

-12 -10 -8 -6 -4 -2 0 2 4 6 80.2

0.4

0.6

0.8

1

1.2

1.4

1.6

1.8

Focus

Experiment: Defect 1

Experiment: Defect 2

Experiment: Defect 3

Simulation: Spherical = 0.00

Simulation: Spherical = 0.05

Simulation: Spherical = 0.1

Simulation: Spherical = 0.15

Simulation: Spherical = 0.2

Intensity

Focus (µm)

Comparison of Intensity at Center of Defect

Figure 5-5. Center intensity through focus as a function of spherical aberration. Spherical changes the best

focus position and lowers the center intensity value for very negative defocus values. The units of spherical

are waves.

Page 53: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

45

5.4 Limitations of Method

The method described above showed a new way to determine aberrations given a limited

set of information about an optical system. But, its value is limited. In practice, varying

aberration values need to be determined across a large field. This means that a buried defect

would need to be imaged through focus at many points in the field of the tool. Then, the method

above would need to be repeated separately for each set of images. Also, only three aberrations

are extracted with this method. For the AIT, this was enough to match the experimental images.

This would likely not be true for an arbitrary system.

The final point to note is that the aberrations extracted in this work are much larger than

the aberrations expected in any EUV exposure tool. In 2009, for example, Nikon’s EUV optics

had an average wavefront error of 0.03 waves RMS [47]. The AIT had 0.55 waves RMS of

astigmatism alone. It is not clear that the method described above is sensitive enough for a

system with aberrations much lower than the AIT. It is not possible to test the sensitivity on the

AIT because of the signal to noise ratio is not good enough. Actinic imaging tools with a better

signal to noise ratio than the AIT do not currently exist.

5.5 Summary

This section showed that a series through focus images of a single buried defect with

known dimensions can be used to determine the aberrations of an inspection tool. In fact, most

of the aberrations could be determined by monitoring only one point, the center of the defect,

through focus. The great match between the simulation results and AIT images after the

aberrations are included shows again that RADICAL is accurate.

0 0.5 1

0

0.2

0.4

0.6

0.8

1

0 0.5 1

0

0.2

0.4

0.6

0.8

1

0 0.5 1

0

0.2

0.4

0.6

0.8

1

0 0.5 1

0

0.2

0.4

0.6

0.8

1

0 0.5 1

0

0.2

0.4

0.6

0.8

1

0 0.5 1

0

0.2

0.4

0.6

0.8

1

Focus = -3.6µm

Exp Sim Exp Sim Exp exp

Focus = 0.3µm Focus = 3.4µm

-12 -10 -8 -6 -4 -2 0 2 4 6 80.2

0.4

0.6

0.8

1

1.2

1.4

1.6

1.8

Focus (um)

Inte

nsity

Comparison of Intensity at Center of Defect

Experiment: Defect 1

Experiment: Defect 2

Experiment: Defect 3

Simulation with Aberrations

Intensity

Focus (µm)

Comparison of Intensity at Center of Defect

Figure 5-7 Plot of center intensity of buried defect through focus comparison between RADICAL with

astigmatism = 0.55, spherical 0.10 and coma 0.06 waves and experimental AIT images.

Page 54: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

46

6 Printability of Defects

Near Features The previous chapters show that isolated defects are useful to learn and demonstrate

general characteristics of buried defects in EUV masks. They also demonstrated the accuracy of

RADICAL. But, the real danger of buried defects in EUV masks is the effect they have on

features. This chapter studies, with simulation and experiment, the printability of buried defects

near features. The effects of defect size and position, as well as focus and illumination all have

an important effect on the printability of defects near features.

All simulations in this section will assume a production type EUV tool with NA = 0.32 and

4x reduction. The illumination is tophat with σ=0.75, except in the section on the effects of

illumination. The 13.5nm light is incident on the mask at a 6° angle perpendicular to the

direction of the line space patterns.

6.1 Effects of Defect Size

Chapter 4 showed the unsurprising result that the taller isolated defects are, the more

printable they are. This is true of defects near features as well. Figure 6-1 shows the space CD

of 22nm dense lines in the presence of a Gaussian defect 25 nm from the shadowed absorber

edge on the 4x mask. No smoothing was applied, so both the surface defect width and height

could be controlled.

Figure 6-1. Summary of space CD for 22nm dense lines as a function of defect size and shape.

One interesting result shown in Figure 6-1 is that printability does not always get worse for

wider defects. For narrow defects the behavior is not surprising. A very narrow defect is not

20 40 60 80 100

0.5

1

1.5

2

2.5

3

3.5

4

Surface Defect FWHM (nm)

Surface D

efe

ct H

eig

ht (n

m)

0

5

10

15

20

Page 55: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

47

printable and as the defect FWHM increases so does the resulting CD change. But, this is only

true until the FWHM reaches values around 65nm. At this point, the CD change due to the

defect begins to decrease as the FWHM increases. This effect was also shown by Terasawa in

[48].

6.2 Effects of Defect Position

As described in Chapter 3, RADICAL’s design makes simulating several different

absorber patterns over the same defect fast and easy. Therefore, the investigations in this chapter

will simulate the effect of a defect as a function of position across an entire period on the mask.

This is not usually done in simulation or experimental studies. The resulting CD change as a

function of position for several defects is shown in Figure 6-2. The offset is in 4x mask

dimensions and the CD is in 1x wafer dimensions.

Figure 6-2. Space CD of 22nm dense lines as a function of defect position for multiple defect sizes and tophat

illumination with a partial coherence of σ=0.75.

Several interesting conclusions can be drawn from these results. The first is that a 2.2nm

tall defect will cause resist bridging if it is located anywhere between 55nm and 75nm from the

absorber edge. This is the worst case area in which a defect can be located. However, in

practice many defects that do not cause feature bridging will still not be acceptable because the

tolerable CD change due to a defect is actually a small percentage of the space width. For this

work, 10% will be used as the maximum allowable space CD change. The RADICAL

simulations in Figure 6-2 show that a defect as small as 0.8nm will cause a 10% CD change if it

is located 65nm from the edge of the absorber line. This means if a mask blank has no defects

taller than 0.8nm it can be relied on for EUV printing of 22nm dense lines, assuming no focus

variation.

Figure 6-2 is not symmetric due to the 6° incident angle of the EUV light. For negative

offsets, the size of the space is actually increased by the defect and for positive offsets the space

width is reduced. Also, all of the curves in Figure 6-2 are shifted right due to the 6° incident

angle. For normally incident light, the curves would be symmetric around an offset of +44nm,

where the defect is centered in the space. But, in Figure 6-2 the curves are roughly symmetric

around an offset of +66nm, 22nm different than the expectation for normal incidence. The

-40 -20 0 20 40 60 80 100 1200

5

10

15

20

25

Defect Offset (nm)

CD

(nm

)

Space CD as a Function of Defect Position

Surface Defect Height: 0.8nm

Surface Defect Height: 1.4nm

Surface Defect Height: 2.2nm

Surface Defect Height: 2.8nm

Nominal CD

10% CD Change

Page 56: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

48

absorber stack in these simulations was 87nm tall, 75nm of TaN and 12nm of an anti-reflection

coating for mask inspection. A simple geometric ray tracing calculation of the round trip

shadowing distance predicts a horizontal displacement of 18nm from the normal incidence case.

This is close to the value of 22nm observed in simulation, suggesting geometric approximations

are a good first pass method for predicting shadowing effects. But, the most important

conclusions regarding shadowing is that when considering the effect of a defect, its position and

the angle of the incident light must be considered together.

Figure 6-3 shows the results for 16nm dense lines. All of the characteristics of the 22nm

results are present in the 16nm results, but the sensitivity to defects is increased greatly. This is

due mainly to the reduced image slope from 22nm to 16nm patterns. For 16nm lines and this

system, k1=0.38. It is likely that in production resolution enhancement techniques such as off-

axis illumination would be used to improve the image slope. This will be addresses in Section

6.4.

Figure 6-3. Critical dimension of 16nm dense lines as a function of defect position for multiple defect sizes and

tophat illumination with a partial coherence of σ=0.75.

6.3 Effects of Focus

The results in Chapter 4 show the clear effect of focus on buried EUV defects. Both

RADICAL simulations and AIT images of isolated buried defects invert their center intensity

through focus. The center intensity of the aerial image of an isolated bump defect is below the

clear field value for negative focus, which is defined as moving the wafer away from the lens,

and above the clear field value for positive focus. This inversion through focus is apparent in the

images of buried defects near features as well. Figure 6-4 shows the resulting space CD for

nominally 22nm lines as a function of the position of 0.8nm tall defect for three focus values.

-20 0 20 40 60 800

2

4

6

8

10

12

14

16

18

20

Defect Offset (nm)

CD

(nm

)

Space CD as a Function of Defect Position for 16nm Dense Lines

Surface Defect Height: 0.4nm

Surface Defect Height: 0.8nm

Surface Defect Height: 1.4nm

Surface Defect Height: 2.2nm

Nominal CD

10% CD Change

Page 57: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

49

Figure 6-4. CD for 22nm lines as a function of the position of 0.8nm tall defect for three focus values

Figure 6-4 shows that for negative focus the space CD is decreased and for positive focus

the space CD is increased. This is consistent with Chapter 4, where the defect is brighter for

positive focus and darker for negative focus. There are a few interesting offset locations to note.

From 15nm to 50nm offset, the CD change is within the 10% boundary at best focus, but out of

focus it is beyond the limit. This shows that focus must be considered when minimum defect

sizes are defined. The other interesting position is at 10nm offset, where there is no CD change

due to the defect in focus, but out of focus the CD change is nearly 10%. This shows that

inspection through focus may be necessary for defect detection.

6.4 Effects of Illumination

The primary reason advanced illuminations, such as annular and dipole, are used is to

improve the quality of an aerial image. A standard measure of image quality is contrast. Figure

6-5 shows the improvement of image contrast of 16nm and 22nm dense lines for three

illuminations.

Figure 6-5. Contrast of the aerial images of 22nm and 16nm dense line patterns with three illuminations.

-40 -20 0 20 40 60 80 100 12015

16

17

18

19

20

21

22

23

24

25

Defect Offset (nm)

CD

(nm

)

Space CD as a Function of Defect Position

defocus: -75nm

defocus: 0nm

defocus: 75nm

Nominal CD

10% CD Change

0 0.2 0.4 0.6 0.8 1

TopHat

Annular

Dipole

16nm

22nm

Contrast

Page 58: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

50

Section 4.3 showed that illumination has a large effect on the images of an isolated defect.

It also has a large effect on the printability of defect near features, as shown in Figure 6-6 which

shows a reduction of defect printability for annular and dipole illuminations at best focus. The

reduction in sensitivity seems to follow the same trend as the increase in contrast suggesting that

a way to decrease defect printability is to increase contrast.

Through focus, however, the off-axis illuminations do not always reduce defect

printability. As Figure 6-7 shows, the defect has a more complicated effect for annular and

dipole illuminations through focus than it does for tophat. The space containing the defect with

tophat simply expands and contracts near the defect through focus, in the same way it did for

previous simulations in this chapter. But, the annular and dipole illuminations cause the defect

to affect a larger area of the image. The dipole image is particularly interesting. In focus, the

image appears to be defect free. But, for positive defocus the space CD is decreased above and

below the defect and increase to the left and right of the defect. This is consistent with the

isolated image of the defect in Figure 4-16. The effect is opposite for negative focus.

For annular illumination, similar effects over a large area are observed, but the magnitude

of the changes due to the defect is much smaller. This suggests that annular illumination may be

the best illumination for printing 16nm dense lines that are insensitive to defects through focus.

The mutual intensity function for each illumination is also shown in Figure 6-7. The dark areas

of the mutual intensity function show the points where there is no interaction with the fields from

the center point. The orange areas show positive interaction and the blue areas show negative

interaction. The dipole mutual intensity function shows that the defect interacts strongly over the

entire domain, so the effects observed in the aerial image plots are not surprising.

Figure 6-6. CD for 16nm lines as a function of the position of 0.8nm tall defect for three types of illumination.

-20 0 20 40 60 8011

12

13

14

15

16

17

18

19

Defect Offset (nm)

CD

(nm

)

Space CD as a function of illumination for a 0.8nm surface defect and 16nm dense lines

TopHat

Annular

Dipole

Nominal CD

10% CD Change

Page 59: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

51

Figure 6-7. Aerial images through focus for three illuminations of a 0.8nm defect 16nm (mask scale) the edge of an

absorber line in a 16nm (wafer scale) dense line space pattern. The mutual intensity function for each illumination

is also shown. The physical size for all plots is 128nm x 128nm wafer scale.

6.5 Experimental Images of Defects Near Features

As was done for isolated defects, actinic inspection images of the Intel programmed defect

mask from the AIT can be compared directly to RADICAL simulation results. The sizes of all

the defect images are summarized in Table 2-1. All of the images discussed in this section will

be for defects located near 250nm dense lines. All dimensions are mask scale. Three nominally

identical defects were programmed at the same relative location within a line space pattern.

6.5.1 Printability as a Function of Defect Size

An example AIT image is shown in Figure 6-8 showing three defect sizes with three

defects each, located 55nm away from the edge of an absorber line.

f = -75nm f = 0nm f = +75nm

Tophat

Annular

Dipole

-60 -40 -20 0 20 40 60

-60

-40

-20

0

20

40

60

-60 -40 -20 0 20 40 60

-60

-40

-20

0

20

40

60

Mutual Intensity

Page 60: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

52

Figure 6-8. AIT image at focus = -0.75µm of nine programmed defects located 55nm away from the edge of 250nm

absorber lines. There are three defects of each size.

A summary of the CD change due to these defects is plotted in Figure 6-9 along with the

CD change predicted by RADICAL simulations. All of this analysis was done for images -

0.75µm out of focus. These happened to be the best quality AIT images, so they were used.

There are three sets of experimental data, one for each column on the programmed defects mask.

Several conclusions can be drawn from Figure 6-9. The first is that RADICAL matches the AIT

images fairly well up to the 6.5nm tall defect. This is actually a very large defect, larger than the

defects used in the accuracy studies presented in Chapter 3. The defects which are important for

the 22nm node and below will only one-third the size at about 2nm tall and bel0w. Another

interesting feature of Figure 6-9 is that the CD change due to defects in column 1 is nearly

always less than the CD change due to defects in column 3. This is because the focal position of

the AIT image is not uniform. Due to details of the zone plate imaging system, which are

beyond the scope of this dissertation, there is a gradual focus change across the image. Because

buried defects are so sensitive to focus, there is a systematic variation in CD across the image.

X Distance (um)

Y D

ista

nce (um

)

14 15 16 17 18 19

13

14

15

16

17

18

19

20

Defect

Column 3

3.5nm

Defects

Defect

Column 2

2.7nm

Defects

2.0nm

Defects

Defect

Column 1

Page 61: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

53

Figure 6-9. CD change as a function of surface defect height at focus = -0.75µm from AIT experiments and

predicted by RADICAL simulations. A positive CD change in this plot is defined as a decrease in the space CD.

The final interesting result in Figure 6-9 is the 4.4nm tall defect in column 2 that appears to

cause the space CD to increase. Looking at the images of this defect in Figure 6-10 shows that

the CD increase is present at multiple focus positions and only in column 2. This means that it is

likely an additional non-programmed defect on the mask. This is possibly due to some absorber

missing near the defect.

-100

-50

0

50

100

150

200

250

0 1 2 3 4 5 6 7 8

CD

Ch

an

ge

(n

m)

Surface Defect Height (nm)

RADICAL Simulation

AIT Defect Column 1

AIT Defect Column 2

AIT Defect Column 3

Page 62: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

54

Figure 6-10. Images near best focus for the three nominally 4.4nm tall defects.

It may be tempting to use the data in Figure 6-10 and to determine the allowable defect

sizes for production EUV lithography. However, the differences in dimensions and illumination

between these experiments and future production conditions mean that final quantitative

conclusions cannot be reached from this data. The sizes of the features are more than two times

larger than the patterns that will be printed with production EUV. Also, the illumination of the

AIT is very coherent and on-axis. Production EUV will either use a less coherent tophat

illumination or off-axis illuminations like dipole or annular, as demonstrated by simulations

earlier in this chapter. Still, several qualitative conclusions can be drawn from the experimental

data.

6.5.2 Printability of Covered Defects

Some have proposed that a solution to blank defects is to shift the absorber pattern to cover

them [49]. This may be a plausible solution which makes a few blank defects tolerable, but it

must be done carefully. Figure 6-11 shows the resulting simulated and experimental images of a

7nm tall 59nm FWHM defect that is centered 43nm from the edge under the 250nm absorber

line. Figure 6-11 shows there is a small bump in the AIT image near the defect. The CD drops

by as much as 23nm here. The results of the simulation show a similar bump, with a 19nm CD

change. For 250 lines, these changes are less than 10%. But, for 88nm lines on the mask, which

would print 22nm wafer lines in a 4x system, 19nm would be an over 20% change. These

experimental and simulated results both show that a covered defect may print, so it is important

to fully consider all defects, even if they are covered by absorber features.

0.5 1 1.5 2

0.5

1

1.50.5 1 1.5 2

0.5

1

1.50.5 1 1.5 2

0.5

1

1.5

0.5 1 1.5 2

0.5

1

1.50.5 1 1.5 2

0.5

1

1.50.5 1 1.5 2

0.5

1

1.5

0.5 1 1.5 2

0.5

1

1.50.5 1 1.5 2

0.5

1

1.50.5 1 1.5 2

0.5

1

1.5

f = 0.75µm f = 0µm f = -0.75µmC

olu

mn

1C

olu

mn

2C

olu

mn

3

Page 63: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

55

In this case, the defect is 7nm tall at its center, and has a full width half max of 59nm.

According to the growth model in [43], which predicts an approximately Gaussian surface

profile, the height of the defect at the edge of the absorber pattern is 2.2nm, which corresponds to

a round trip path difference for incident light of 0.3λ. This significant fraction of a wavelength

should interfere and cause a distortion in the image, therefore the results in Figure 6-11 are not

surprising. So, although the defect appears to be covered by the absorber it still causes

meaningful mask geometry in the space between the lines.

Figure 6-11. AIT Image, Mask Layout and RADICAL image for covered defect.

6.5.3 Printability Through Focus

It has been well established in this dissertation that buried defects are phase defects and

their printability is very sensitive to focus. This is shown by AIT images and RADICAL

simulation for a defect near features in Figure 6-12. Qualitatively the two rows of images are

very similar which suggests that RADICAL can accurately predict the experimental printability

of buried defect near features through focus. Quantitative comparisons of the CD change,

however, were very difficult because of the high source coherence. The source coherence causes

a relatively narrow bright spot near the defect for positive focus. This aspect, present in the AIT

images and RADICAL simulations, made it unrealistic to quantitatively compare the CD change

due to the defect through focus

AIT Image Actual Mask Layout RADICAL Image

Page 64: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

56

Figure 6-12. Comparison of aerial images of 5.3nm buried defect on the edge of an absorber line predicted by

RADICAL and recorded on the AIT. The label on each image is focus.

6.6 Summary

This chapter presented a thorough study of defect printability near features. The

printability of defects is very sensitive to their position relative to absorber features. The worst

case position is a function of the defect size, and even defects covered by the absorber pattern

can cause a CD change greater than 10%. The sensitivity to defects was decreased in focus by

advanced illuminations. But, through focus the defects affected a larger area of the aerial image

with dipole and annular illuminations compared to tophat. Many of the effects observed in this

chapter were verified experimentally by comparisons between RADICAL simulations and AIT

images, confirming again RADICAL’s accuracy.

-1.0μm -0.25μm 0.5μm 2μm0 8

2 2.2 2.4 2.6 2.8 3 3.2

2 2.2 2.4 2.6 2.8 3 3.2

2 2.2 2.4 2.6 2.8 3 3.2

0 8

2 2.2 2.4 2.6 2.8 3 3.2

0.2

0.4

0.6

0.8

1

1.2

1.4

1.25μm

AIT Images

RADICAL Images (Simulation)-1.0μm -0.25μm 2μm1.25μm

(Experiment - December 2008)

Page 65: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

57

7 Compensation Methods

for Buried Defects This chapter addresses mask infrastructure needs by investigating, with simulation,

compensation methods for EUV masks with buried defects. All simulations in this work are

performed with RADICAL, which was described in detail in Chapter 3. Compensation has been

investigated previously with simulation [9] and experiments [50]. But, neither of these works

addressed the effectiveness of the compensation through focus, which this dissertation shows is a

challenging problem.

In Chapter 2, many of the issues facing EUV lithography were described. In this chapter, a

possible solution to the primary issue, masks defects, is explored. Currently, the density of

defects detected on EUV masks is 10 times higher than required defect densities [22]. It is

possible that all other components of the EUV system may be in place, but EUV masks will still

not be available which meet the required defect density.

The general goal of compensation is to take an EUV mask with an unacceptable yield and

transform it into a mask with an acceptable yield. The specifics of this goal will vary for each

design and process, but for this work it is assumed that a CD change greater than 10% is not

acceptable. It turns out that for the defects investigated in this chapter reducing the CD to less

than 10% is not difficult in focus. But, due to the phase nature of the buried defect the CD

change is much worse out of focus. Therefore, compensation methods must not only reduce the

CD at best focus to less than 10% but also reduce the CD variation due to the defect through

focus.

Two methods will be presented in this chapter to compensate for buried defects in EUV

masks. The first is a design curve method. For this method, design curves developed from the

results of many defect free simulations will be used to determine the required absorber

modification based only on the CD change due to the defect. This method works well in focus,

but the defect still has a significant effect through focus. The second method attempts to

compensate for a buried defect through focus by covering the defect with absorber. This method

requires knowledge of the defect’s size and position, but is able to reduce the defect’s effect

through focus. The effectiveness of these compensation methods will be demonstrated on

example defects.

All simulations for this chapter are for 22nm dense lines (wafer scale), 4x demagnification,

NA=0.32 and a tophat illumination with σ=0.75 incident at 6°. These parameters are chosen to

model a first generation production EUV lithography scanner. Also, positive focus refers to

moving the wafer closer to the optics and negative focus refers to moving it away from the

optics. The defect size and position values are mask scale. The CD will be measured at the

wafer scale and, because buried defects normally affect two adjacent dark lines, the term CD in

this chapter refers to the space CD, as shown in Figure 7-4.

7.1 Compensation with Design Curves

Compensation with design curves, the first method proposed in this paper, is a simple and

general method suitable for using inspection or wafer print data to guide compensation. The

Page 66: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

58

assumption is the CD change due to a defect is known. Given this CD change the design curves

will then prescribe several possible modifications to the absorber pattern to compensate for the

defect. It is important to note that no more information is required than the CD change. The

size, shape and position of the defect are not required.

The fundamental assumption of the design curve method is that a geometry that causes a

certain percentage CD increase for a defect free simulation will compensate for a defect that

causes that same percentage CD decrease before compensation. This assumption is not exactly

accurate. The interaction of the electric fields at the mask and partial coherence effects of the

illumination and imaging system are much more complex than that. But, this simple method

does work fairly well for many defects.

7.1.1 Development of Design Curves

There are many complicated geometries

that could be used for compensation. But, for

manufacturability and to reduce the number of

variables in this study, only symmetric

geometries with rectangular sections of absorber

removed are used for the design curve method.

Therefore, the compensation geometry is

specified by two variables: the length and the

depth of the removed absorber. These parameters

are defined on the example geometry shown in

Figure 7-1.

Simulations were performed for a range of

length and depth values. The results are

summarized in Figure 7-2. It is interesting to

note that the percentage CD change is

approximately a function of the removed area.

This is a useful fact to remember when considering compensation geometries, because one

dimension can be reduced and the other increased, as long as the area is held constant, to

improve manufacturability.

Example design curves derived from the data above are shown in Figure 7-3. Converting

the data in Figure 7-2 into the plots in Figure 7-3 was a three step process. The first step was to

fit the data for each length with a third degree polynomial to produce five expressions, one for

each length, for depth as a function of percent CD change. The second step was to use these

expressions to determine the depth of compensation needed for each length, for the percent CD

changes shown in Figure 7-3. This produced sets of depth and length pairs for each CD change.

Finally, each one of these sets was fit with a third degree polynomial to produce the plots below.

A specific curve can be calculated quickly for any CD change within the range of CD changes

produced by the defect free simulation.

Absorber Pattern on Mask

X Distance (nm)

Y D

ista

nce (nm

)

0 200 400 600

0

100

200

300

400

500

600

700

D

L

Figure 7-1. Example of compensated geometry with

definitions of depth (D) and length (L)

Page 67: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

59

Figure 7-3. Design curves for specifying compensation geometries for defects causes 10%, 15%, 20% and 25% CD

changes

0 5 10 15 20 2550

60

70

80

90

100

110

Depth of Removed Area (nm)

Length

of R

em

oved A

rea (nm

)

Dimensions of Removed Area for Several CD Changes

∆CD = 10%

∆CD = 15%

∆CD = 20%

∆CD = 25%

Distance (nm)

Dis

tance (nm

)

Defective Image Before Compensation

50 100 150

20

40

60

80

100

120

140

160

CD

Figure 7-4. Aerial image at best focus from a 0.8nm tall 108nm FWHM defect located 30nm from the

shadowed edge of the absorber pattern.

0 5 10 150

5

10

15

20

25

30

Depth of Removed Area (nm)

% C

D C

hange

Percent CD Change as a Function of Absorber Removed

Length: 50nm

Length: 65nm

Length: 80nm

Length: 95nm

Length: 110nm

0 500 1000 1500 2000 2500 3000 35000

5

10

15

20

25

30

% C

D C

hange

Total Area of Removed Absorber (nm2)

Percent CD Change as a Function of Removed Area

Figure 7-2. Summary of in focus defect free simulations used to develop design curves

Page 68: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

60

7.1.2 Example of Compensation with Design Curves

Design curve compensation will be demonstrated for a defect that is 0.8nm tall, has a full

width at half maximum (FWHM) of 108nm and is located 30nm from the shadowed edge of the

88nm dense line space pattern. All these dimensions are mask scale, which means the 88nm

lines will be printed as 22nm lines due to the demagnification. This defect causes a 15% CD

change at best focus, as shown in Figure 7-4. The design curve in Figure 7-3 for a 15% CD

change specifies the possible geometries to account for this defect. Three selected compensation

geometries are summarized in

Table 7-1. The resulting aerial images through focus of two of the geometries are shown

along with the images from the uncompensated geometry in Figure 7-5. The space CD measured

for each image is plotted in Figure 7-6.

Table 7-1.Summary of compensation geometries for a 0.8nm x 108nm defect

Geometry Label Length Depth

A 56nm 10nm

B 72nm 8nm

C 108nm 6nm

Figure 7-5. Through focus images of the original uncompensated geometry and compensation geometries A and C.

A

C

f = -80nm f = -40nm f = +40nm f = +80nmf = 0nm

Ori

gin

al

(No

Co

mp

en

sati

on

)

Page 69: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

61

Figure 7-6. Plot of percent CD change for the three compensation geometries plus the original geometry through

focus.

Figure 7-5 and Figure 7-6 show that the design curve method for compensation is

successful in focus. The CD change is improved from a decrease of 15% to an increase of 3%.

This is well within the 10% CD change requirement. The compensation works so well in focus,

that there is no defect visible in the center column of the compensated images. However, the

defect does become visible through focus. Buried defects are phase defects so unlike amplitude

defects, the effect of phase defects inverts through focus. This causes the contraction on the lines

for negative focus in Figure 7-5 and the expansion of the lines for positive focus. The change is

represented by the slope in Figure 7-6. The slope before and after compensation is

approximately the same. This means that the design curve method is able to correct for the

defect in focus but it is not able to correct for it through focus. Improving the through focus

compensation for the defect is addressed in the next section.

7.2 Compensation by Defect Covering

As shown above, buried defects are phase defects and therefore print worse out of focus.

One possible method to counteract this effect is to cover a defect with absorber. The goal is to

transform a phase defect into an amplitude defect. Amplitude defects print worst in focus, so if

they can be compensated for in focus the effect of the defect will be acceptable through focus.

7.2.1 Example of Compensation by Defect Covering

The defect covering strategy can be applied to the defect from Section 7.1. Unlike the

design curve method, the defect covering method does require that the location, size and shape of

the defect is known because full simulations of the defect are required. Rather than simply

changing the absorber based the results of previous simulations, as is done in the design curve

method, many in focus simulations will be performed for the actual defect that is being

compensated. The results of this compensation are shown in Figure 7-7 and Figure 7-8.

A 26nm x 26nm absorber square was chosen to cover the defect. Defects larger than this

size caused the intensity of the line near the square to be reduced to a level that was assumed to

be too low to effectively expose resist on a wafer.

-80 -60 -40 -20 0 20 40 60 80-50

-40

-30

-20

-10

0

10

20

Focus (nm)

% C

D C

hange

Percent CD Change Through Focus

Compensation A

Compensation B

Compensation C

No Defect or Compensation

Defect without Compensation

10% CD Change Limit

Page 70: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

62

Table 7-2. Summary of Geometries in Figure 7-7

Square Size Length Depth (Left) Depth (Right)

Design Curve Method none 72nm 8nm 8nm

Covered Defect with Balanced Absorber Removal 26nm x 26nm 80nm 18nm 18nm

Covered Defect with Unbalanced Absorber Removal 26nm x 26nm 80nm 24nm 12nm

Figure 7-7. Compensated layouts along with in focus aerial image for three compensation schemes for a 0.8x108nm

defect.

Figure 7-8. CD change for three compensation schemes through focus for a 0.8x108nm defect

The first attempt at covering the defect removed absorber from the lines near the defect

symmetrically as was done in the design curve method. The exact compensation applied is

Design Curve

MethodCovered Defect

Covered Defect

w/Unbalanced

Removal

Pa

tte

rnB

est

Fo

cus

Ima

ge

-80 -60 -40 -20 0 20 40 60 80-25

-20

-15

-10

-5

0

5

10

15

20

Focus (nm)

% C

D C

hange

Percent CD Change Through Focus

Compensation WITHOUT Covering (Design Curve)

Compensation WITH Covering

Compensation WITH Covering and Unbalanced Removal

No Defect

10% CD Change Limit

Page 71: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

63

summarized in Table 7-2. This resulted in a CD that met the met the specification in focus. It

also increased the depth of focus (DOF), defined in this case as the focus range for which the

absolute value of the CD change remained below 10%, by 13% over the design curve method

from 112nm to 126nm. The amount of absorber removed from each was greater than for the

design curve method because the absorber covering the defect blocked light that needed to be

replaced. Unfortunately, while this compensation geometry produced a moderate improvement

in depth of focus, it also causes some bending in the image of the line. To correct for the

bending of the image, a different amount of absorber needed to be removed from each side. But,

the total area removed remained the same. This unbalanced compensation geometry produced an

in focus image with the correct CD and no bending, but it reduced the depth of focus compared

to the compensation with covering and balanced absorber removal. The depth of focus is 118nm

which is only 5% better than the depth of focus of the design curve method geometry.

The fact that none of these covering techniques were able to significantly improve the

through focus behavior shows that the attempt to transform a phase defect into an amplitude

defect was not successful. This is understandable, because the defect has a FWHM of over

100nm, but the squares used to cover it are less than 25nm on each side. Therefore, only a small

portion of the defect is actually covered. This logic also explains why the depth of focus was

worse for the unbalanced absorber removal. Removing more of the absorber near the defect

causes parts of the defect that were previously covered to reflect light that is slightly out of phase

with the background and contributed to the CD change through focus. If this analysis is correct,

then a smaller defect should be compensated for more effectively through focus by covering.

7.2.2 Example of Compensation by Defect Covering for a Narrower Defect

To test whether a narrower defect can be covered more effectively, a second defect is

compensated for by the design curve method and defect covering method. This defect is 1.6nm

tall and 50nm FWHM on the surface of the mask blank. It is located in the center of the space

between two absorber lines. This defect causes a CD change of 17% at best focus without

compensation. The results of the compensation are shown in Figure 7-9.

Figure 7-9. CD change for three compensation schemes through focus for a 1.6x50nm defect

-80 -60 -40 -20 0 20 40 60 80-60

-50

-40

-30

-20

-10

0

10

20

Focus (nm)

% C

D C

hange

CD Change for Three Absorber Geometries

Defect without Compensation

Compensation by Removing Absorber (Design Curve Method)

Compensation by Covering Defect and Removing Absorber

Nominal CD

10% CD Change Limit

Page 72: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

64

Table 7-3. Summary of geometries used to compensate for 1.6x50nm defect

Square Size Length Depth (Left) Depth (Right)

Design Curve Method none 84nm 8nm 8nm

Covered Defect 26nm x 26nm 65nm 18nm 18nm

For this narrower defect, the depth of focus was increased from 100nm, for the design

curve method, to 123nm for the covering method. This is a 23% increase. This improvement of

DOF is much better than for the larger defect, which shows that the effectiveness of the covering

method is related to the percentage of the defect area that is covered. Line bending was not an

issue for this defect. Although there was improvement in the depth of focus, the effects of the

defect’s phase are still obvious through focus, suggesting it may be impossible to transform even

this smaller defect into a magnitude defect.

7.3 Compensation of Buried Pits

For some EUV mask blanks, pits are actually more common than bumps [51]. Therefore,

it is important to show that these compensation methods work for pits as well. The pit to be

compensated for is 2nm deep, 50nm FWHM, and located in the center of the space between two

absorber lines. This defect, like the 50nm wide bump, caused a 17% CD change. The results of

the compensation are shown in Figure 7-10. It is important to note that like the bumps shown

above, pits cause a reduction in the space CD. So although they may seem to be the opposite of

bumps, the same design curves which specify absorber removal can be used.

Figure 7-10 demonstrates a few interesting things. The first is that the design curve

method works for pits in the same way it works for bumps. The major difference between the

printability of pits and bumps is also obvious in the decrease in space CD from negative to

positive focus. As Figure 7-6, Figure 7-8, and Figure 7-9 show the space CD near bumps

increases from negative to positive focus. The depth of focus is increased 23% from 83nm for

the design curve compensation to 102nm for the covering method. The covering method works

as well for pits as it does for bumps.

Figure 7-10. CD change for three compensation schemes through focus for a -2.0x50nm defect

-80 -60 -40 -20 0 20 40 60 80-80

-60

-40

-20

0

20

Focus (nm)

% C

D C

hange

CD Change for Three Absorber Geometries

Defect without Compensation

Removing Absorber (Design Curve Method)

Covering Defect and Removing Absorber

Page 73: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

65

Table 7-4. Summary of geometries used to compensate for -2.0x50nm defect

Square Size Length Depth (Left) Depth (Right)

Design Curve Method none 70nm 10nm 10nm

Covered Defect 26nm x 26nm 90nm 30nm 14nm

7.4 Summary

Printability of buried defects in EUV masks can be decreased by adding or removing

absorber near the defect. This may allow a defective mask to be transformed into a useable mask

with simple modifications to the absorber pattern near the defects. Design curves, developed by

defect free simulations of possible compensation geometries, can accurately prescribe absorber

modifications for a defect based on the CD change due to that defect alone. Unfortunately, the

phase of the buried defects still causes a through focus CD variation, even after the compensation

is applied. For a 1.6nm tall and 50nm wide defect, the DOF for the design curve method was

only 100nm. The method proposed to account for this was to cover the defect with absorber and

then increase the amount of absorber removed to produce the correct CD in focus. This

improved the DOF to 123nm for the 1.6nm tall defect, though the phase effects of the defect still

had a major effect on the CD through focus. These two methods work equally well for pits. The

results of this chapter can also guide technology development. Specifically, because narrow

defects can be more easily compensated through focus, smoothing processes should be

developed which produce narrower surface defects.

Page 74: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

66

8 Conclusion This dissertation presented a new simulator specifically designed for EUV masks with

buried defects, RADICAL, and demonstrated several applications of the software that have

already contributed significantly to EUV research and development. This simulator is an

extension of the multilayer blank simulator developed by Michael Lam. The primary advantage

of RADICAL over other simulation methods is its speed. It can simulate a geometry in a minute

or less that would take hours using conventional rigorous methods. This speed increase was

accomplished by evaluating the electromagnetic response of the two components of the mask,

the absorber and non-planar multilayer, and the interactions between then, and specifically

designing a modular simulator to model these components and simulate their interaction as fast

as possible.

Within RADICAL, the absorber is modeled using a propagated thin mask model and the

multilayer is simulated by an advanced ray tracing method. The two components are linked by a

Fourier transform which converts the nearfield output of one simulator to a set of plane waves to

be input into the other. Multiple reflections between the absorber and multilayer do not need to

be considered because the similar refractive index values of all the mask materials for 13.5nm

light make the reflection coefficient off the bottom of the absorber insignificant. The single

surface approximation was tuned to account for the penetration of light into the multilayer and

can be used in place of the ray tracing simulator for shorter defects with minimal smoothing,

which increases the speed of RADICAL by two orders of magnitude. The accuracy was tested

by comparisons to two rigorous methods, the finite difference time domain and waveguide, and

actinic inspection experiments on the Actinic Inspection Tool (AIT) at Lawrence Berkeley

National Lab.

RADICAL has been used to learn many new things about buried defects, their interactions

with features, and the inspection tools that will be needed to inspect for them on EUV masks.

Studies of isolated defects showed that the dominant characteristic of buried defects is the phase

difference between the defect and the background light. This causes the inversion of the aerial

image intensity through focus, which has been observed by many researchers in multiple

simulations and experiments. But, simply assuming the defect is small and produces a constant

phase change will not yield the correct results. Due to the multilayer smoothing processes used

by mask blank suppliers, the surface geometries produced by buried defects are wide compared

to the wavelength of light and their reflected spectrum does not fill the pupil. Therefore, EUV

buried defects behave differently than the defects found on conventional DUV lithography masks

and are more difficult to model and compensate for.

This dissertation presented a thorough study of buried defects near features with a

particular focus on the effect of defect position on critical dimension (CD) change. Previous

time consuming rigorous simulations and expensive and inexact experiments were unable to do

careful studies of defect printability as a function of position. RADICAL, however, is able to re-

use the results of the multilayer simulations of a buried defect for any pattern near that defect,

making simulations of many defect positions very fast. These investigations showed that buried

defects are very sensitive to position, and the worst case position of a defect is actually a function

of the defect’s size. Also, as expected from the results of isolated defect imaging investigations,

the printability of buried defects is worst out of focus. Some defects may be invisible to an in

focus inspection, but out of focus can cause an unacceptable CD change. Examples were also

Page 75: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

67

shown of defects that were covered by the absorber still causing a meaningful CD change. The

printability of covered defects was confirmed by an AIT inspection of an EUV programmed

defect mask, designed and fabricated by Intel, and shows that attempts to compensate for defects

by shifting the absorber pattern to cover them must be done carefully. RADICAL was used to

explore possible future production conditions with advanced illuminations, such as annular or

dipole. These illuminations improved image slope and therefore decreased the sensitivity to

buried defect in focus. But, through focus buried defects caused pattern distortions over a much

larger area on the wafer when advanced illuminations were used.

After presenting many examples of how problematic buried defects are for EUV printing,

solutions were offered. Compensation methods were described that may allow the use of mask

blanks with buried defects to create defect free masks. A design curve method was presented

than can compensate for a buried pit or bump in focus based simply on its CD change, as

measured by mask inspection or on a printed wafer. Another method was presented which can

improve the compensation through focus, but it requires detailed knowledge of the size, shape

and position of the defect so that it can be simulated in RADICAL.

The results of applications presented in this dissertation are for specific defective

multilayer geometries, mask patterns, and materials. It remains to be seen whether or not these

will be the final choices for EUV production, or what other new technologies will be proposed.

Whatever happens, RADICAL will continue to be a fast and accurate option for EUV mask

simulation. As new defects are discovered, RADICAL will help uncover their physical nature.

As new smoothing, inspection or compensation schemes are introduced, RADICAL will be able

to evaluate their effectiveness. And as EUV technology continues to be refined, RADICAL will

be able to help engineers quickly evaluate trade-offs between competing figures of merit.

The methods in this dissertation will also be useful once EUV lithography is implemented.

Masks will likely never be completely free of buried defects, and for each new design RADICAL

will be able to determine the tolerances of mask patterns to buried defects. In inspection

systems, fast defect simulation will allow real-time disposition of the defects detected.

RADICAL can also be integrated into OPC algorithms to allow automated pattern changes to

compensate for buried defects.

EUV lithography masks are not the only structures in which objects are buried under

multiple layers, and therefore the methods in this work could be applied to other fields. The

layers which make up the earth’s crust could be viewed in the same way as an EUV mask, and

the signature of oil or minerals below the surface may be similar to buried defects. The walls of

a building are also composed of many layers, so the methods in this paper may assist efforts to

image objects through walls. There are many problems that the methods in this dissertation

could be applied to, and time will tell what new issues within the semiconductor industry and

beyond find RADICAL and its technology valuable.

Page 76: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

68

9 References

[1] D. Medeiros, et al. “Lithography on the Edge” presented at 2009 Int. SEMATECH EUVL

Symp., Prague (October 2009).

[2] S. Sivakumar, et al. “Technical and Manufacturing Challenges and the Prospects for HVM

using ArF Pitch Division” presented at 2009 Int. SEMATECH EUVL Symp., Prague

(October 2009).

[3] Wood, O. et al., “EUV lithography at the 22nm technology node,” Proc. SPIE 7636,

(2010).

[4] P. Kuerz, et al. “Optics for EUV Lithography” presented at 2009 Int. SEMATECH EUVL

Symp., Prague (October 2009).

[5] Miura, T. et al. “Nikon EUVL development progress update,” Proc. SPIE 7636, (2010).

[6] D. C. Brandt, et al. “LPP Source System Development for HVM,” SPIE 7636, (2010).

[7] B. LaFontaine, et al. “Assessing EUV Mask Defectivity” presented at 2009 Int.

SEMATECH EUVL Symp., Prague (21 Oct. 2009).

[8] H.S Seo1, et al. “Effects of multilayer depositions on the EUV printability and DUV

inspectability of substrate pit defects” presented at 2009 Int. SEMATECH EUVL Symp.,

Prague (October 2009).

[9] R. Jonckheere, et al. “Investigation of Mask Defect Density in Full-Field EUV

Lithography,” presented at 2009 Int. SEMATECH EUVL Symp., Prague, Czech Republic

(October 2009).

[10] U. Okoroanyanwu, et al. “Assessing EUV mask defectivity,” Proc. SPIE 7636, (2010).

[11] S. Huh, et al. “Impact of EUV mask absorber defect with pattern-roughness on

lithographic images,” Proc. SPIE 7636, (2010).

[12] S. Lok, “EUV Lithography with the Alpha Demo Tools,” presented at 2009 Int.

SEMATECH EUVL Symp., Prague, Czech Republic (October 2009).

[13] LaFontaine, B., et al., “The use of EUV lithography to produce demonstration devices,”

Proc. SPIE 6921, (2008).

[14] Vandentop, G., et al., “Demonstration of full-field patterning of 32 nm test chips using

EUVL,” Proc. SPIE 7271, (2009).

[15] Naulleau, P. P., et al. “Extreme ultraviolet microexposures at the Advanced Light Source

using the 0.3 numerical aperture micro-exposure tool optic,” J. Vac. Sci. Technol. B 22, 6

(2004).

[16] Uzama, S. et al., “Path to the HVM in EUVL through the development and evaluation of

the SFET,” Proc. SPIE 6517, (2007).

[17] Terasawa, T. et al., “Development of actinic full-field EUV mask blank inspection tool at

MIRAI-Selete,” Proc. SPIE 7271, (2009).

[18] K. A. Goldberg, et al., "Actinic extreme ultraviolet mask inspection beyond 0.25

numerical aperture, "Journal of Vacuum Science and Technology B 26 , 2220-4 (2008).

Page 77: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

69

[19] Kinoshita, H. et al., “Aerial Image Mask Inspection System for Extreme Ultraviolet

Lithography,” Jpn. J. Appl. Phys. 46 (2007).

[20] Brizuela, F. et al., “Inspection 13.2-nm table-top full-field microscope”, Proc. SPIE 7271,

(2009).

[21] K. A. Goldberg, I. Mochi, S. Huh , "Collecting EUV mask images through focus by

wavelength tuning," SPIE 7271, (2009).

[22] Liang, T., et al.,” Growth and printability of multilayer phase defects on extreme

ultraviolet mask blanks,” J. Vac. Sci. Technol. B 25, 2098 (2007).

[23] Cho, W., et al., “Detectability and printability of EUVL mask blank defects for the 32 nm

HP node,” Proc. SPIE 6730, (2007).

[24] K. Hamamoto,et al., " Mask defect inspection using an extreme ultraviolet microscope," J.

Vac. Sci. Technol. B 23, 2852–2855 (2005).

[25] Pistor, T., et al., "Extreme ultraviolet mask defect simulation: low-profile defects," J. Vac.

Sci. Technol. B 18, 2926–2929 (2000).

[26] Lam, M.C., et al., “Modeling methodologies and defect printability maps for buried

defects in EUV mask blanks,” Proc. SPIE 6151, (2007).

[27] Smaali, R. et al., “Three-dimensional Rigorous Simulation of EUV Defective Masks

Using Modal Method by Fourier Expansion,” Proc. SPIE 6151, (2006).

[28] Evanschitzky, P., et al. “Fast near field simulation of optical and EUV masks using the

waveguide method,” Proc. of SPIE 6533, (2007).

[29] Burger, S., et al., “Benchmark of FEM, Waveguide and FDTD Algorithms for Rigorous

Mask Simulation,” Proc. SPIE 5992, (2005).

[30] Burger, S. et al., “Benchmark of Rigorous Methods for Electromagnetic Field

Simulations,” Proc. SPIE 7122, (2008).

[31] Gordon, R. L., et al., “Mask Topography Simulation for EUV Lithography,” Proc. SPIE

3676, (1999).

[32] Pistor, T., et al., “Calculating Aerial Images from EUV Masks,” Proc. SPIE 3676 (1999)

[33] Bollepalli, B.S., et al. “On the computation of reflected images from extreme ultraviolet

masks.” Proc. SPIE 3676, (1999).

[34] Evanschitzky, P., et al. “Simulation of Extreme Ultraviolet Masks with Defective

Multilayers,” Proc. SPIE 5130, (2003)

[35] Ito, M., et al., “Simulation of Multilayer Defects in Extreme Ultraviolet Masks,” Jpn. J.

Appl. Phys. Vol. 40, 2549-2553 (2001)

[36] Gullikson, E. M., et al. “Practical approach for modeling extreme ultraviolet lithography

mask defects,” J. Vac. Sci. Technol. B 20, 81 (2002).

[37] Deng, Y., et al., “Models for characterizing the printability of buried EUV defects,” Proc.

SPIE 4343 (2001).

[38] Lam, M.C., et al., “A 3-D substrate and buried defect simulator for EUV mask blanks,”

Proc. SPIE 5751, (2005).

Page 78: Simulation and Compensation Methods for EUV Lithography ... · The final topic of this dissertation is defect compensation. Two methods are proposed to reduce the effects of a buried

70

[39] Lam, M.C., et al., “Simplified model for absorber feature transmissions on EUV masks,”

Proc. SPIE 6349, (2006).

[40] Clifford, C.H., et al. “Fast Three-Dimensional Simulation of Buried EUV Mask Defect

Interaction with Absorber Features,” Proc. of SPIE 6730, (2007).

[41] Clifford, C. H., et al. “Smoothing Based Model for Images of Isolated Buried EUV

Multilayer Defects,” Proc. of SPIE 6921, (2008).

[42] H. Han, “Characterization of EUV Mask Defects: Printability and Repair Process”

presented at 2008 Int. SEMATECH EUVL Symp., Lake Tahoe (September 2008).

[43] Stearns, D.G., et al., “Localized defects in multilayer coatings”, Thin Solid Films 446

Issue 1, 37-49 (2004).

[44] W. Cho, et al., “Inspection with the Lasertec M7360 at the SEMATECH Mask Blank

Development Center,” Proc. of SPIE 6517, (2007).

[45] S. Stokowski, et al. “Inspecting EUV mask blanks with a 193nm system”, Proc. SPIE

7636, (2010).

[46] Mochi, I. et al., “Improving the performance of the Actinic Inspection Tool with an

optimized alignment procedure,” Proc. of SPIE 7271, (2009). [47] Miura, T, et al, “Nikon EUVL development progress update”, Proc of SPIE Vol 7271

(2009)

[48] Terasawa, T, et al, “High Speed Actinic EUV Mask Blank Inspection with Dark-Field

Imaging,” Proc of SPIE Vol 5446 (2004)

[49] Yang, P, et al, “EUVL ML mask blank fiducial mark application for ML defect

mitigation”, Proc of SPIE Vol 7488 (2009)

[50] T. Liang, “Multilayer Defect Compensation to Enable Quality Masks for EUVL

Production,” presented at 2008 Int. SEMATECH EUVL Symp., Lake Tahoe (September

2008).

[51] S. Huh, et al. “A Study of Real Native Defects on EUV Mask Blanks Using a DUV Blank

Inspection Tool and SEMATECH AIT,” presented at 2009 Int. SEMATECH EUVL

Symp., Prague, Czech Republic (October 2009).