5
Fin Stress and Pitch Measurement using X-ray Diffraction Reciprocal Space Maps and Optical Scatterometry A.C. Diebold a , M. Medikonda a , G.R. Muthinti a , V. K. Kamineni b , J. Fronheiser b , M. Wormington c , B. Peterson d , and J. Race d a College of Nanoscale Science & Engineering, University at Albany, SUNY, Albany, NY; b GLOBALFOUNDRIES, Albany, NY; c Jordan Valley Semiconductor, Austin, TX; d Nanometrics Inc., Milpitas, CA. ABSTRACT Although fin metrology presents many challenges, the single crystal nature of the fins also provides opportunities to use a combination of measurement methods to determine stress and pitch. While the diffraction of light during a scatterometry measurement is well known, X-ray diffraction from a field (array) of single crystal silicon fins can also provide important information. Since some fins have Si 1-x Ge x alloys at the top of the fin, determination of the presence of stress relaxation is another critical aspect of fin characterization. Theoretical studies predict that the bi- axially stressed crystal structure of pseudomorphic alloy films will be altered by the fin structure. For example, one expects it will be different along the length of the fin vs the width. Reciprocal space map (RSM) characterization can provide a window in the stress state of fins as well as measure pitch walking and other structural information. In this paper, we describe the fundamentals of how RSMs can be used to characterize the pitch of an array of fins as well as the stress state. We describe how this impacts the optical properties used in scatterometry measurement. . Keywords: X-ray diffraction, Reciprocal space maps, Scatterometry, Mueller matrix, Ellipsometry, Strained fins 1. INTRODUCTION Measurement of fin dimension and pitch continues to challenge the semiconductor industry. Fins can be fabricated using a variety of process and film stacks each of which provide unique challenges for process control. A considerable research and development effort is aimed at advancing both optical scatterometry and critical dimension scanning electron microscopy (CD-SEM). New optical measurement hardware such as Mueller Matrix spectroscopic ellipsometry (SE) and the associated simulation software are expected to extend scatterometry to future integrated circuit generations. Advances in CD-SEM technology also indicate that it can be extended to future technology generations. Both optical scatterometry and CD-SEM can measure CD, pitch, and pitch walking. Despite these advances, both methods do not presently provide information about the composition of individual layers such as Si 1-x Ge x in fin or the stress state of those layers in a fin. It is well know that High-resolution X-ray diffraction (HRXRD) provides a useful means of characterizing thin film stress as well as the Ge composition of un-patterned, pseudomorphic Si 1-x Ge x on Si(001). In this paper, we show how HRXRD reciprocal space maps (RSMs) can measure the average stress state of an array of Si 1-x Ge x fins on Si (001), as well as pitch and the presence of pitch walking. One expects the stress state of thin films to be different from that of fin structures. Along the width of the fin, there is no constraint for reducing or preventing relaxation of an epitaxial layer during deposition or subsequent processing. The possible stress states along the width of an epi layer on a fin vary from no relaxation to complete relaxation and this relaxation can be elastic due to lateral expansion at the free surfaces or inelastically by the generation of misfit dislocations. Although the optical properties of a pseudomorphic epitaxial layer are altered by stress, the stress is biaxial and thus more easily included in an optical model. The optical properties of pseudomorphic Si 1-x Ge x deposited on Si(001) with Ge concentrations from x= 0.05 to 0.75 have been measured and compared to elastic theory based quantum mechanical predictions for the change in energy of the prominent E 1 optical transition with stress. 1 Elastic theory predictions closely follow experimental data. 1 Although the prominent optical transitions of Si and Ge have been studied using experimentally applied uniaxial stress, these publications do not provide the complex refractive index / dielectric function for Si or Ge vs stress. 2, 3 There do not seem to be any publications providing the complex refractive index of uniaxially stressed Si 1-x Ge x alloys. 4 Although it is likely that optical scatterometry routinely measures materials stacks that contain anisotropic materials, most measurement simulations utilize isotropic optical models for the complex Metrology, Inspection, and Process Control for Microlithography XXVII, edited by Alexander Starikov, Jason P. Cain, Proc. of SPIE Vol. 8681, 86810I · © 2013 SPIE · CCC code: 0277-786X/13/$18 · doi: 10.1117/12.2023081 Proc. of SPIE Vol. 8681 86810I-1 DownloadedFrom:http://proceedings.spiedigitallibrary.org/on02/18/2014TermsofUse:http://spiedl.org/terms

SPIE Proceedings [SPIE SPIE Advanced Lithography - San Jose, California, USA (Sunday 24 February 2013)] Metrology, Inspection, and Process Control for Microlithography XXVII - Fin

  • Upload
    jason-p

  • View
    214

  • Download
    2

Embed Size (px)

Citation preview

Page 1: SPIE Proceedings [SPIE SPIE Advanced Lithography - San Jose, California, USA (Sunday 24 February 2013)] Metrology, Inspection, and Process Control for Microlithography XXVII - Fin

Fin Stress and Pitch Measurement using X-ray Diffraction Reciprocal Space Maps and Optical Scatterometry

A.C. Diebolda, M. Medikondaa, G.R. Muthintia, V. K. Kaminenib, J. Fronheiserb, M. Wormingtonc, B. Petersond, and J. Raced

aCollege of Nanoscale Science & Engineering, University at Albany, SUNY, Albany, NY; bGLOBALFOUNDRIES, Albany, NY; cJordan Valley Semiconductor, Austin, TX; dNanometrics

Inc., Milpitas, CA.

ABSTRACT

Although fin metrology presents many challenges, the single crystal nature of the fins also provides opportunities to use a combination of measurement methods to determine stress and pitch. While the diffraction of light during a scatterometry measurement is well known, X-ray diffraction from a field (array) of single crystal silicon fins can also provide important information. Since some fins have Si1-xGex alloys at the top of the fin, determination of the presence of stress relaxation is another critical aspect of fin characterization. Theoretical studies predict that the bi-axially stressed crystal structure of pseudomorphic alloy films will be altered by the fin structure. For example, one expects it will be different along the length of the fin vs the width. Reciprocal space map (RSM) characterization can provide a window in the stress state of fins as well as measure pitch walking and other structural information. In this paper, we describe the fundamentals of how RSMs can be used to characterize the pitch of an array of fins as well as the stress state. We describe how this impacts the optical properties used in scatterometry measurement. . Keywords: X-ray diffraction, Reciprocal space maps, Scatterometry, Mueller matrix, Ellipsometry, Strained fins

1. INTRODUCTION Measurement of fin dimension and pitch continues to challenge the semiconductor industry. Fins can be fabricated using a variety of process and film stacks each of which provide unique challenges for process control. A considerable research and development effort is aimed at advancing both optical scatterometry and critical dimension scanning electron microscopy (CD-SEM). New optical measurement hardware such as Mueller Matrix spectroscopic ellipsometry (SE) and the associated simulation software are expected to extend scatterometry to future integrated circuit generations. Advances in CD-SEM technology also indicate that it can be extended to future technology generations. Both optical scatterometry and CD-SEM can measure CD, pitch, and pitch walking. Despite these advances, both methods do not presently provide information about the composition of individual layers such as Si1-xGex in fin or the stress state of those layers in a fin. It is well know that High-resolution X-ray diffraction (HRXRD) provides a useful means of characterizing thin film stress as well as the Ge composition of un-patterned, pseudomorphic Si1-xGex on Si(001). In this paper, we show how HRXRD reciprocal space maps (RSMs) can measure the average stress state of an array of Si1-xGex fins on Si (001), as well as pitch and the presence of pitch walking.

One expects the stress state of thin films to be different from that of fin structures. Along the width of the fin, there is no constraint for reducing or preventing relaxation of an epitaxial layer during deposition or subsequent processing. The possible stress states along the width of an epi layer on a fin vary from no relaxation to complete relaxation and this relaxation can be elastic due to lateral expansion at the free surfaces or inelastically by the generation of misfit dislocations. Although the optical properties of a pseudomorphic epitaxial layer are altered by stress, the stress is biaxial and thus more easily included in an optical model. The optical properties of pseudomorphic Si1-xGex deposited on Si(001) with Ge concentrations from x= 0.05 to 0.75 have been measured and compared to elastic theory based quantum mechanical predictions for the change in energy of the prominent E1 optical transition with stress.1 Elastic theory predictions closely follow experimental data.1 Although the prominent optical transitions of Si and Ge have been studied using experimentally applied uniaxial stress, these publications do not provide the complex refractive index / dielectric function for Si or Ge vs stress.2, 3 There do not seem to be any publications providing the complex refractive index of uniaxially stressed Si1-xGex alloys.4 Although it is likely that optical scatterometry routinely measures materials stacks that contain anisotropic materials, most measurement simulations utilize isotropic optical models for the complex

Metrology, Inspection, and Process Control for Microlithography XXVII, edited by Alexander Starikov, Jason P. Cain, Proc. of SPIE Vol. 8681, 86810I · © 2013 SPIE · CCC code: 0277-786X/13/$18 · doi: 10.1117/12.2023081

Proc. of SPIE Vol. 8681 86810I-1

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 02/18/2014 Terms of Use: http://spiedl.org/terms

Page 2: SPIE Proceedings [SPIE SPIE Advanced Lithography - San Jose, California, USA (Sunday 24 February 2013)] Metrology, Inspection, and Process Control for Microlithography XXVII - Fin

-8000 -6000 -4000 -2000

Omega-2Theta (aresec;

0

4

8 1

3

3

004x=30 x=50

224x=30 x=50

00

Ï... :I

1

-O M 000 004 0 000 + 96 203 204 203 200

hho

refractive index / dielectric function. Thus Si1-xGex epitaxial layers in fin stacks that are partially or completely relaxed along the width of the fin must be modeled using the complex refractive index for either bi-axially stressed or completely relaxed Si1-xGex.

This paper is divided into four additional sections. Following the, introduction, HRXRD reciprocal space maps are described. The third section describes experimental RSM results for Si and Si1-xGex alloy fins. The fourth section describes the impact of optical anisotropy on Mueller Matrix spectroscopic ellipsometry data, and conclusions are provided in the fifth section.

2. HRXRD RECIPROCAL SPACE MAPS In this section, the measurement and use of HRXRD reciprocal space maps (RSM) is described. The principle is illustrated using pseudomorphic Si1-xGex deposited on Si(001) with Ge concentrations from x= 0.05 to 0.75.1 The BedeMetrix-L (Jordan Valley Semiconductors) was used to characterize layer thickness, germanium concentration and the relaxation percentage of Si1-xGex on Si(001) via HRXRD. The deposition of these films is challenging because the stress in un-relaxed, pseudomorphic Si1-xGex / Si(001) films is 1 GPa for alloys with 20% Ge and is more than 3 GPa for films with > 50% Ge. Thus, verification of the stress state of the thin films is critical. Two types of X-ray diffraction measurements are used to ensure the pseudomorphic nature of the films: triple axis ω-2θ scans and RSMs. ω-2θ rocking curves represent a subset of the information provided in RSMs. In a ω-2θ scans, the sample (ω) and detector (2θ) angles are changed in a 1:2 ratio. The lattice properties in different directions can be obtained by measurements using different Bragg reflections. The most comment reflection from Si(001) is the symmetric (004) reflection which characterizes the lattice planes of the Si1-xGex that are parallel to the substrate silicon. ω-2θ scans can be used to determine the composition of the epitaxial layer through elastic theory and the angular difference between the substrate and layer diffraction peaks. For smooth, pseudomorphic epitaxial layers, interference fringes are observed on either side of the epilayer diffraction peak. As described in reference 1, the epilayer thickness can be calculated from the angular difference between the interference fringe peaks. Example ω-2θ rocking curves are shown in Figure 1 for a wide range of Ge compositions. The presence of interference fringes is usually a strong indication that the epilayer is pseudomorphic. However, a small amount of relaxation may be present. It is also important to note that some pseudomorphic films have enough surface roughness to prevent the observation of interference fringes. In addition, the epitaxial layers that are deposited pseudomorphicaly can be partially or fully relaxed by subsequent processing. Thus RSMs are used to determine if any relaxation has occurred.

Figure 1: (004) ω-2θ rocking curves for pseudomorphic Si1-xGex deposited on Si(001) with Ge concentrations from x= 0.05 to 0.75 are shown on the left, and (004) and (224) RSMs on the right.1 Figures adapted from reference 1 and used with the permission of the editor.

Proc. of SPIE Vol. 8681 86810I-2

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 02/18/2014 Terms of Use: http://spiedl.org/terms

Page 3: SPIE Proceedings [SPIE SPIE Advanced Lithography - San Jose, California, USA (Sunday 24 February 2013)] Metrology, Inspection, and Process Control for Microlithography XXVII - Fin

00

i,h0

0

h h 0

oo = 1 t-4

hh0

As mentioned above, ω-2θ rocking curves represent a subset of the information provided in RSMs. The most commonly used way to obtain an RSM is to do a series of ω-2θ scans measured at different starting ω values.1 (004) RSMs measure both the strain and the tilt of the epi layer lattice with respect to the substrate. For the Si1-xGex / Si(001) epitaxial layers with Ge concentrations from x= 0.05 to 0.75 shown in Figure 1, reciprocal space maps indicated that there was no plastic relaxation. These RSM are plotted with the in plane reciprocal lattice change (00l) on the y-axis and the out of plane reciprocal lattice change (hh0) on the x-axis. Example (004) reciprocal space maps for 30% and 50% germanium concentrations are also shown in Figure 1. Figure 1 also shows the asymmetric (224) glancing exit RSMs for 30% and 50% germanium concentrations respectively. The layer peak is observed directly below the substrate peak in both maps confirming that the epitaxial layers are lattice matched parallel to surface normal (pseudomorphic).

RSM characterization of fins provides information about the materials stack and fin pitch. The periodic nature of an ordered array or grating of single crystal Si will show diffraction peaks at the 2θ due to the spacing (pitch) between fins. Si1-xGex/multilayer fins show diffraction at the Bragg angle for both the silicon and Si1-xGex peaks. The additional diffraction peaks (grating rods) are observed at an azimuthal angle around 90° (X-perpendicular to the fin length). At 0° azimuth (X-ray beam parallel to the fin length), no additional diffraction is observed for long fins.

3. RSM CHARACTERIZATION OF Si AND SiGe/Si FINS A BedeMetrix-L (Jordan Valley Semiconductors) was also used to measure RSMs of the Si and SiGe alloy fins. It is important to note that this system is nearly ten years old and modern HRXRD systems will have considerably higher X-ray intensity at the sample, smaller beam size and more sensitive detectors. A typical (004) RSM of Si fins is shown in Figure 2a along with a RSM obtained using a new XRD system in 2b. The additional diffraction peaks due to the fin grating are easily observed, and the pitch can be calculated from the reciprocal lattice spacing using Si Pitch = 0.5431 nm / ΔH. Plotting the RSM in terms of the in-plane (00l) and out of plane (hh0) changes in diffraction intensity results in these striking representations of the fin pitch. RSMs of SiGe / Si fins are shown in Figure 2c. Diffraction of the grating is observed for both the Si and SiGe layers fin layers at the pitch of the fins.

Figure 2: (004) RSMs of Si and SiGe/Si fins measured perpendicular to the fin length. The Si fin RSM taken using an older XRD system is shown in (a) and RSM from a new XRD system in (b). The (004) RSM of SiGe/Si fins is shown in (c).

Figure 3 shows (224) RSM data taken at azimuth of 0° and 90° azimuth for SiGe / Si fins with the SiGe alloy being partially relaxed along the width of the fins. In the (224) RSM, no diffraction from the grating is observed. The peak position for the 224 diffraction from the SiGe alloy is not directly below the Si 224 diffraction peak for 90° azimuth indicating relaxation along the width of the fin. The (004) RSM is also shown for this sample to demonstrate that diffraction can be observed for this sample. For this sample, the relaxation was elastic without the creation of dislocations as was evident from interference fringes in the 004 data at 0° azimuth (not shown).

Proc. of SPIE Vol. 8681 86810I-3

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 02/18/2014 Terms of Use: http://spiedl.org/terms

Page 4: SPIE Proceedings [SPIE SPIE Advanced Lithography - San Jose, California, USA (Sunday 24 February 2013)] Metrology, Inspection, and Process Control for Microlithography XXVII - Fin

(004) RSM = 90°

h h 0

OO

(224) RSM $ = 90°

h h 0

O

(224) RSM $ = 0°

h h 0

Figure 3: (004) and (224) RSMs of SiGe / Si fins. The (224) RSM data was measured perpendicular and parallel to the fin length. The RSM shows partial relaxation of the SiGe top layer when the X-rays are incident perpendicular to the fins.

Using a modern HRXRD system or a synchrotron light source pitch walking can also be observed in RSM of fins. In Figure 4, we show simulated data for two values of pitch walking at constant (00l) in a RSM. Simulations indicate that 1 nm of pitch walking can be observed. The target pitch in the simulations is identical for both of the simulated data sets.

Figure 4: Simulated effect of pitch walking on RSM data.The figures represent a cut through the RSM at constant 00l with the first graph having no pitch walking and the second having 5 nm pitch walking for 20 nm wide fins.

4. EFFECT OF ANISOTROPY ON OPTICAL PROPERTIES Optical scatterometry based on conventional spectroscopic ellipsometry determines two parameters, Ψ and Δ, and assumes that the optical properties are isotropic and ignore depolarization of the scattered light. Mueller Matrix (MM) SE based optical scatterometry determines 16 elements and the off-diagonal MM elements are sensitive to optical anisotropy. The presence of optical anisotropy in MM data can be characterized using anisotropy coefficients.5 As described by Muthinti5 et al. based on the work of Arteaga6 et al. the anisotropy coeffients α, β and γ are calculated from the MM elments, and each coeffient describes a different aspect of the anisotropy. Coefficients α, β, and γ are respectively the ratios of 900 linear anisotropy, 450 linear anisotropy and circular anisotropy normalized by the total anisotropy. Anisotropy coefficients for a perfectly non-depolarizing MM are related by the following expression:

Thus, the first step in calculating the anisotropy coefficients is to remove the effects of depolarization from the MM. This calculation is described by Muthinti et al.5 The impact of anisotropy on the MM data is largest when the light is not scattered normal or perpendicular to the fin length.5 At this time, the complex refractive index of stress free and stressed Si and SiGe alloys are available from the literature.1 Remembering that the complex refractive index and the complex dielectric function are related by ε = ε1 + iε2 = (n+ik)2, the bi-axial stress induced differences for ε2 for pseudomorphic SiGe alloys are shown in Figure 5 below. This leads to the question of what optical properties should be used for scatterometry simulations of partially relaxed SiGe/Si fins? Answering this question requires a considerable research effort.

Proc. of SPIE Vol. 8681 86810I-4

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 02/18/2014 Terms of Use: http://spiedl.org/terms

Page 5: SPIE Proceedings [SPIE SPIE Advanced Lithography - San Jose, California, USA (Sunday 24 February 2013)] Metrology, Inspection, and Process Control for Microlithography XXVII - Fin

Figure 5: Imaginary part of the complex dielectric function of pseudomorphic SiGe alloys. Figure adapted from reference 1 and used with permission.

5. SUMMARY HRXRD RSM characterization has been shown to be sensitive to fin pitch and pitch walking for both Si and SiGe/Si fins. RSMs were also used to characterize SiGe fins for stress relaxation, and partial relaxation was observed along the width of the fin. We also note that RSM characterization is different from CD-small angle X-ray scattering. RSM characterization is done in the region of reciprocal space close to Bragg diffraction peaks from periodic arrays of single crystal structures. Reflection mode CD-SAXS measurements can be done either at glancing incidence or in transmission and can observe the diffraction from the grating structure of non-single crystal materials. We have also presented the need for extracting the dielectric function of uniaxially strained SiGe fins to develop scatterometry models. Furthermore, we report that the coefficients (α, β and γ) can be used as metric to determine the anisotropy in the grating structures.

ACKNOWLEDGMENTS GRM and ACD acknowledge funding from Nanometrics Inc. We are thankful to the Application Scientists at Nanometrics Inc., Milpitas, CA for the help in Scatterometry modeling and their management for support in funding. We would also like to acknowledge the technical support on MM and SE by J.A.Woollam Inc., Lincoln, NE. We would like to acknowledge the integration support of Jeremy Wahl, Kerem Akarvardar and Steven Bentley along with the management support from William Taylor and Ajey Jacob from GLOBALFOUNDRIES.

REFERENCES

[1] G. Raja Muthinti, M. Medikonda, T. Adam, A. Reznicek, and A. C. Diebold, “Effects of stress on the dielectric function of strained pseudomorphic Si1−xGex alloys from 0 to 75% Ge grown on Si (001),” Journal of Applied Physics 112, 053519 (2012). [2] P. Etchegoin, J. Kircher, and M. Cardona, Phys. Rev. B 47, 10292 (1993). [3] P. Etchegoin, J. Kircher, M. Cardona, and C. Grein, Phys. Rev. B 45, 11721 (1992). [4] S. Zollner, “Optical Properties and Band Structure of Unstrained and Strained Si1-xGex and Si1-x-yGexCy alloys”, Chapter 12 in [Silicon-Germanium Alloys Growth Properties and Applications, Eds. S.T. Pantelides and S.Zollner, Optoelectronic Properties of Semiconductors and Superlattices, Ed. M.O. Manasreh],Taylor and Francis, N.Y., 15, 387 (2002). [5] G. R. Muthinti, M. Medikonda, J. Fronheiser, V. K. Kamineni, B. L. Peterson, J. Race, W. McGahan, S. Rabello, and A. C. Diebold, “Mueller-based scatterometry measurement of nanoscale structures with anisotropic in-plane optical properties", Proc. SPIE, Vol. 8681 (same volume), paper 8681-21 (2013). [6] O. Arteaga, A. Canillas, and G. E. Jellison, “Determination of the components of the gyration tensor of quartz by oblique incidence transmission two-modulator generalized ellipsometry.,” Applied optics 48, 5307–5317 (2009).

Proc. of SPIE Vol. 8681 86810I-5

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 02/18/2014 Terms of Use: http://spiedl.org/terms