3
Unified Power Format Unified Power Format (UPF) is the popular name of the Institute of Electrical and Electronics Engineers (IEEE) standard for specifying power intent in power op- timization of electronic design automation. The IEEE 1801-2009 release of the standard was based on a dona- tion from the Accellera organization. 1 History A Unified Power Format technical committee was formed by the Accellera organization, chaired by Stephen Bailey of Mentor Graphics. As a reaction to the Power Forward Initiative the group was proposed in July 2006 and met on September 13, 2006. [1] It submitted its first draft in January 2007, and a version 1.0 was approved to be pub- lished on February 26, 2007. [2] Joe Daniels was technical editor. [3] Files written to this standard annotate an electric design with the power and power control intent of that design. Elements of that annotation include: Power Supplies: supply nets, supply sets, power states Power Control: power switches Additional Protection: level shifters and isolation Memory retention during times of limited power: retention strategies and supply set power states Refinable descriptions of the potential power ap- plied to the electronic system: power states, tran- sitions, a set of simstate, pg_type and function at- tributes of nets, and the -update argument to support the progressive refinement of the power intent. The standard describes extensions to the Tool Command Language (Tcl): commands and arguments for anotat- ing a design hierarchy which has been read into a tool. Semantics for inferring additional elements in the design from the intent are provided in the standard. Digital de- signers, IP Block providers, Physical Designers, and Ver- ification engineers make use of this standard language to communicate their design intent and implementation with respect to the variable power of an electronic system. The Design Automation Standards Committee (DASC) of the IEEE Standards Association sponsored working group 1801, with the project authorization approved on May 7, 2007. [4][5] Goals included: clarify the semantics of the intent - this provides portability of design intent across many vendors tools Add support for incremental refinement - Plat- inum source (constraints) from IP vendors, Golden source (configuration) from IP integrators, and Sili- con source (implementation choices) from those that realize the instantiations. Add support for bottom up and top down design add documentation of the support for wildcard and regular expression selection of design instances clarify the differences between ports and pins provide for convergence capability from both UPF and Common Power Format of the Silicon Integra- tion Initiative (Si2) The IEEE group was initially called the “Low Power Study Group”. Proposed standards have the letter “P” in front of them (such as P1801), which is removed and re- placed with a dash and year when the standard is ratified. Accelera’s UPF 1.0 was donated to the IEEE as a basis of this standard in June 2006. [6] After reviewing 14 drafts, on March 27, 2009, the “Stan- dard for Design and Verification of Low Power Integrated Circuits” was published as IEEE Std 1801-2009. [7] It is sometimes called UPF 2.0. Bailey was also chairman of the IEEE group. [8] Another notable supporter of the stan- dard was Synopsys. [9] A follow-on project planned to de- velop a list of frequently asked questions (FAQ) about the specification. [5] 2 References [1] Richard Goering (September 18, 2006). “Accellera rolls power plan”. EE Times. Retrieved July 7, 2011. [2] “Documents from Group 'Unified Power Format Techni- cal Committee'". Accellera public groups area. Retrieved July 7, 2011. [3] “Unified Power Format (UPF) Standard Version 1.0” (PDF). Accellera. Retrieved August 7, 2011. [4] Sherry Hampton (May 9, 2007). “P1801 - Standard for Design and Verification of Low Power Integrated Circuits” (PDF). IEEE Standards Association Standards Board. Retrieved July 7, 2011. 1

Unified Power Format

Embed Size (px)

DESCRIPTION

wr

Citation preview

  • Unied Power Format

    Unied Power Format (UPF) is the popular nameof the Institute of Electrical and Electronics Engineers(IEEE) standard for specifying power intent in power op-timization of electronic design automation. The IEEE18012009 release of the standard was based on a dona-tion from the Accellera organization.

    1 HistoryAUnied Power Format technical committee was formedby the Accellera organization, chaired by Stephen Baileyof Mentor Graphics. As a reaction to the Power ForwardInitiative the group was proposed in July 2006 and meton September 13, 2006.[1] It submitted its rst draft inJanuary 2007, and a version 1.0 was approved to be pub-lished on February 26, 2007.[2] Joe Daniels was technicaleditor.[3]

    Files written to this standard annotate an electric designwith the power and power control intent of that design.Elements of that annotation include:

    Power Supplies: supply nets, supply sets, powerstates

    Power Control: power switches Additional Protection: level shifters and isolation Memory retention during times of limited power:retention strategies and supply set power states

    Renable descriptions of the potential power ap-plied to the electronic system: power states, tran-sitions, a set of simstate, pg_type and function at-tributes of nets, and the -update argument to supportthe progressive renement of the power intent.

    The standard describes extensions to the Tool CommandLanguage (Tcl): commands and arguments for anotat-ing a design hierarchy which has been read into a tool.Semantics for inferring additional elements in the designfrom the intent are provided in the standard. Digital de-signers, IP Block providers, Physical Designers, and Ver-ication engineers make use of this standard language tocommunicate their design intent and implementation withrespect to the variable power of an electronic system.The Design Automation Standards Committee (DASC)of the IEEE Standards Association sponsored workinggroup 1801, with the project authorization approved onMay 7, 2007.[4][5] Goals included:

    clarify the semantics of the intent - this providesportability of design intent across many vendorstools

    Add support for incremental renement - Plat-inum source (constraints) from IP vendors, Goldensource (conguration) from IP integrators, and Sili-con source (implementation choices) from those thatrealize the instantiations.

    Add support for bottom up and top down design add documentation of the support for wildcard andregular expression selection of design instances

    clarify the dierences between ports and pins provide for convergence capability from both UPFand Common Power Format of the Silicon Integra-tion Initiative (Si2)

    The IEEE group was initially called the Low PowerStudy Group. Proposed standards have the letter P infront of them (such as P1801), which is removed and re-placed with a dash and year when the standard is ratied.Acceleras UPF 1.0 was donated to the IEEE as a basisof this standard in June 2006.[6]

    After reviewing 14 drafts, on March 27, 2009, the Stan-dard for Design and Verication of Low Power IntegratedCircuits was published as IEEE Std 1801-2009.[7] It issometimes called UPF 2.0. Bailey was also chairman ofthe IEEE group.[8] Another notable supporter of the stan-dard was Synopsys.[9] A follow-on project planned to de-velop a list of frequently asked questions (FAQ) about thespecication.[5]

    2 References[1] Richard Goering (September 18, 2006). Accellera rolls

    power plan. EE Times. Retrieved July 7, 2011.

    [2] Documents from Group 'Unied Power Format Techni-cal Committee'". Accellera public groups area. RetrievedJuly 7, 2011.

    [3] Unied Power Format (UPF) Standard Version 1.0(PDF). Accellera. Retrieved August 7, 2011.

    [4] Sherry Hampton (May 9, 2007). P1801 - Standardfor Design and Verication of Low Power IntegratedCircuits (PDF). IEEE Standards Association StandardsBoard. Retrieved July 7, 2011.

    1

  • 2 3 EXTERNAL LINKS

    [5] P1801 Low Power Study Group By Thread. Hypermailarchive. Retrieved July 7, 2011.

    [6] IEEE P1801 - Unied Power Format Standard. Accel-era Organization Inc. Retrieved July 7, 2011.

    [7] UPF: Standard for Design and Verication of Low PowerIntegrated Circuits (March 27, 2009). 1801-2009- IEEE Standard for Design and Verication of LowPower Integrated Circuits. IEEE Standards Associa-tion. doi:10.1109/IEEESTD.2009.4809845. ISBN 978-0-7381-5930-0. Retrieved July 6, 2011.

    [8] Nic Mokho (March 20, 2009). IEEE approves low-power design spec. EE Times. Retrieved July 7, 2011.

    [9] IEEE 1801-2009 Unied Power Format (UPF)".Synopsys. Retrieved July 7, 2011.

    1801-2009 IEEE Standard for Design and Ver-ication of Low Power Integrated Circuits. 2009.doi:10.1109/IEEESTD.2009.4809845. ISBN 978-0-7381-5929-4.

    1801-2013 IEEE Standard for Design and Ver-ication of Low-Power Integrated Circuits. 2013.doi:10.1109/IEEESTD.2013.6521327. ISBN 978-0-7381-8281-0.

    3 External links IEEE 1801-2013 - free download of the standard. IEEE 1801a-2014 - free download of the standard.

  • 34 Text and image sources, contributors, and licenses4.1 Text

    Unied Power Format Source: http://en.wikipedia.org/wiki/Unified_Power_Format?oldid=661593895 Contributors: Pnm, Tabletop,Tole, ENeville, Pegship, SmackBot, PbBot, TeamX, Piledhigheranddeeper, ElderDelp, Dekart, Corysayshellotoyoutoday, Wonder,Isheden, W Nowicki, John of Reading, Bmaddenwiki, Glacialfox and Anonymous: 4

    4.2 Images

    4.3 Content license Creative Commons Attribution-Share Alike 3.0

    HistoryReferences External links Text and image sources, contributors, and licensesTextImagesContent license