17

Welcome to the EMLC 2016 in Dresdenconference.vde.com/emlc2016/Call-for-Papers/Documents... · 2016-06-07 · S. Perlitz, Carl Zeiss SMS GmbH, Jena, Germany 10:35 Best Paper of PMJ

  • Upload
    others

  • View
    4

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Welcome to the EMLC 2016 in Dresdenconference.vde.com/emlc2016/Call-for-Papers/Documents... · 2016-06-07 · S. Perlitz, Carl Zeiss SMS GmbH, Jena, Germany 10:35 Best Paper of PMJ

1

Page 2: Welcome to the EMLC 2016 in Dresdenconference.vde.com/emlc2016/Call-for-Papers/Documents... · 2016-06-07 · S. Perlitz, Carl Zeiss SMS GmbH, Jena, Germany 10:35 Best Paper of PMJ

2 3

Welcome to the EMLC 2016 in Dresden32nd European Mask and Lithography Conference

EMLC 2016 being held from June 21st to June 22nd 2016

at the Hilton Hotel, Dresden, Germany

On behalf of VDE/VDI-GMM, the Sponsors, and the Organizing Committee, we would like to welcome you to the 32nd Euro-pean Mask and Lithography Conference, EMLC2016 at the Hilton Hotel in the City of Dresden, Germany.

The conference has annually brought together scientists, researchers, engineers, and technologists from research institutes and companies from around the world to present innovations at the forefront of mask and wafer lithography.

The two days conference (starting on Tuesday, June 21st at 09:00 am, ending on Wednesday, June 22nd at 06:00 pm) is dedicated to the science, technology, engineering and appli-cation of mask and lithography technologies and associated processes, giving an overview of the present status in mask and lithography technologies and the future strategy where mask producers and users have the opportunity of becoming acquainted with new developments and results. This year’s sessions include:

“Mask Patterning, Metrology & Process”; “Wafer Lithography”; “EUV”; “Modeling & Computational Process Correction”; “Photonics”; “More than Moore IoT & Manufacturing Challen-ges”; “Using the Data”; “Novel Approaches” and “NIL”.

As Welcome Speaker and first Keynote speaker we are pleased to announce Rutger Wijburg from GLOBALFOUNDRIES, Dres-den. He will present the keynote “The Semiconductor Industry in Transition: An European Perspective.”

His presentation will be followed by the second Keynote Speaker Naoya Hayashi from Dai Nippon Printing, Japan. He will explain his statement: “Challenges and Prospects of Next Generation Masks” the status of the worldwide mask techno-logies.

Our third Keynote Speaker is Yu Cao from ASML Brion Inc., USA. He will talk about ”Computational Lithography and Appli-cations in Process Window Enhancement and Control”.

The Tuesday morning will continue, as every year, with the presentation of the Best Poster from BACUS 2015, followed by the Best Paper from PMJ 2016.

On Wednesday morning, starting 8:30 am, BACUS and PMJ Panel Session reports are presented by Bryan Kasprowicz and Naoya Hayoshi, respectively.

Poster Session

During the two days conference a poster session will take place in the exhibition hall, where we will also have coffee and lunch breaks.

Technical Exhibition

Parallel to the Conference Presentations, a Technical Exhibi-tion will take place on Tuesday (09:00 AM to 06:00 PM) and on Wednesday (09:00 AM to 04:00 PM) where companies (mask suppliers, material suppliers and equipment suppliers) will present their companies and products.

To foster the exchange between the conference attendees and the exhibitors, the exhibition area will also be the place for all coffee and lunch breaks.

Conference Dinner Banquet

For Tuesday evening we have organized the Conference Banquet Dinner at the Pulverturm in Dresden. Welcome in the 17th century!

So, please enjoy the Technical Sessions of the EMLC2016 as well as the Technical Exhibition, but also allow yourself to visit the beautiful City of Dresden.

Uwe Behringer

EMLC2016 Program Chair

Page 3: Welcome to the EMLC 2016 in Dresdenconference.vde.com/emlc2016/Call-for-Papers/Documents... · 2016-06-07 · S. Perlitz, Carl Zeiss SMS GmbH, Jena, Germany 10:35 Best Paper of PMJ

4 5

The EMLC 2016 International Program Committee

Conference ChairFinders, Jo, ASML, Veldhoven, The Netherlands

Co-Conference Chairs

Connolly, Brid, Toppan Photomasks GmbH, Dresden, Germany

Gale, Chris, Applied Materials, Dresden, Germany

Hayashi, Naoya, DNP, Saitama, Japan

Program Chairs

Behringer, Uwe, UBC Microelectronics, Ammerbuch, Germany

Stolberg, Ines, Vistec Electron Beam, Jena, Germany

Seltmann, Rolf, Globalfoundries, Dresden, Germany

Sarlette, Daniel, Infineon, Dresden, Germany

List of Contents

Committee Members .................................................... 5, 6

Organizers .......................................................................... 7

Program Overview ............................................................. 8

Tuesday, June 21st, 2016 ................................................ 8

Wednesday, June 22nd, 2016 ....................................... 13

Poster Session ............................................................. 19

Conference Information .................................................. 22

Conference Hours ......................................................... 22

Registration Hours ........................................................ 22

Technical Exhibition ....................................................... 22

Information for Authors .................................................. 23

Presentation and CV ..................................................... 23

Best Poster Award ........................................................ 23

Best Paper Award ......................................................... 23

General Information ........................................................ 24

EMLC 2016 Office ........................................................ 24

Conference Fees ........................................................... 24

Conference Registration ................................................ 24

Online Registration ........................................................ 25

Payment ....................................................................... 25

Cancellation .................................................................. 25

Conference Venue ........................................................ 25

Transport ...................................................................... 26

Parking, Hotel Reservation ............................................ 27

Internet Access ............................................................. 27

Banquet Dinner ............................................................ 28

Insurance ...................................................................... 28

Passport and Visa Requirements .................................. 28

About Dresden .............................................................. 29

Cooperating Partners .................................................... 32

Page 4: Welcome to the EMLC 2016 in Dresdenconference.vde.com/emlc2016/Call-for-Papers/Documents... · 2016-06-07 · S. Perlitz, Carl Zeiss SMS GmbH, Jena, Germany 10:35 Best Paper of PMJ

6 7

The EMLC 2016 International Program Committee

Other Members

Blaesing, Carola, Carl Zeiss SMT GmbH, Jena, Germany

Chen, Parkson, Taiwan Mask Corporation, Hsinchu,Taiwan

Ehrmann, Albrecht, Carl Zeiss SMT GmbH, Oberkochen, Germany

Erdmann, Andreas, Fraunhofer IISB, Erlangen, Germany

Farrar, Dave, Hoya Corporation, London, UK

Jonckheere, Rik, IMEC vzw, Leuven, Belgium

Lauche, Barbara, Photronics MZD GmbH, Dresden, Germany

Le Gratiet, Bertrand, STMicroelectronics, Crolles, France

Lee, Carlos, European Photonics Industry Consortium, Brussels, Belgium

Levinson, Harry, Globalfoundries, Santa Clara, CA, USA

Loeschner, Hans, IMS Nanofabrication AG, Vienna, Austria

Muehlberger, Michael, Profactor GmbH, Steyr-Gleink, Austria

Peters, Jan Hendrik, Carl Zeiss SMT GmbH, Jena, Germany

Pozo, José, European Photonics Industry Consortium, Brussels, Belgium

Progler, Chris, Photronics Inc., San José, CA, USA

Resnick, Douglas J., CNT-Canon, USA

Roeth, Klaus-Dieter, KLA-Tencor MIE, Weilburg, Germany

Scheruebl, Thomas, Carl Zeiss SMT GmbH, Jena, Germany

Schnabel, Ronald, VDE/VDI-GMM, Frankfurt am Main, Germany

Schulze, Steffen, Mentor Graphics Corp., Wilsonville, OR, USA

Tschinkl, Martin, AMTC, Dresden, Germany

Waelpoel, Jacques, ASML, Veldhoven, The Netherlands

Wiley, Jim, ASML US Inc., Santa Clara, CA, USA

Willson, Grant, University of Texas, Austin, TX, USA

Wolf, Hermann, Photronics MZD GmbH, Dresden, Germany

Wurm, Stefan, ATICE LLC, Albany, NY, USA

Zurbrick, Larry, Keysight Technologies, Santa Clara, CA, USA

Organizers

VDE/VDI-Society Microelectronics, Microsystems and Precision Engineering (GMM)

Dr. Ronald SchnabelStresemannallee 15D-60596 Frankfurt am MainPhone: ++49 69-6308-227Fax: ++49 69-6308-9828e-Mail: [email protected]

UBC Microelectronics

Dr. Uwe BehringerAuf den Beeten 5D-72119 Ammerbuch Phone: ++49 171-455-3196Fax: ++49 7073-50216e-Mail: [email protected]

Page 5: Welcome to the EMLC 2016 in Dresdenconference.vde.com/emlc2016/Call-for-Papers/Documents... · 2016-06-07 · S. Perlitz, Carl Zeiss SMS GmbH, Jena, Germany 10:35 Best Paper of PMJ

8 9

■ Tuesday, June 21st, 2016

09:00 Welcome to the 32nd European Mask and Lithography Conference, EMLC2016

J. Finders, ASML, Veldhoven, The Netherlands, EMLC2016 Conference Chair; U. Behringer, UBC Microelectronics, Ammerbuch,

Germany, EMLC2016 Program Chair

Session 1 – Plenary Session I

Session Chairs:R. Seltmann, Globalfoundries, Dresden, Germany;J. Finders, ASML, Veldhoven, The Netherlands

09:15 Welcome and Keynote Speaker I (Invited) The Semiconductor Industry in Transition:

A European Perspective R. Wijburg, Globalfoundries, Dresden, Germany

09:45 Keynote Speaker II (Invited) Challenges and Prospects of Next Generation

Masks N. Hayashi, Dai Nippon Printing Co. Ltd., Saitama,

Japan

10:15 Best Poster from BACUS 2015 (Invited) Actinic Review of EUV Masks: Performance Data

and Status of the AIMSTM EUV System S. Perlitz, Carl Zeiss SMS GmbH, Jena, Germany

10:35 Best Paper of PMJ 2016 (Invited) Etched multilayer EUV mask fabrication for

sub-60nm pattern based on effective mirror width N. Iida, K. Takai, T. Kamo, Toshiba Corporation,

Y. Morikawa, N. Hayashi, Dai Nippon Printing Co., Ltd. Saitama, Japan

10:55-11:25 Coffee Break

Session 2 – Plenary Session II

Session Chairs:I. Stolberg, Vistec Electron Beam GmbH, Jena, Germany;M. Tschinkl, AMTC, Dresden, Germany

11:25 Keynote Speaker III (Invited) Computational Lithography and Applications in

Process Window Enhancement and Control Y. Cao, ASML Brion, San José, CA, USA

11:55 Temperature mapping (thermal deformation) and sample/wafer positioning using integrated photonics (Invited)

R. Evenblij,Technobis Fibre Technologies, The Nether-lands

12:15 Fabrication of InP based photonic integrated circuits using a foundry model

N. Grote, F. M. Soares, M. Baier, V. Dolores-Calzadilla, Z. Zhang, Fraunhofer Institute for Telecommunications, Heinrich-Hertz-Institute, Berlin, Germany

12:35-14:00 Lunch Break

13:15-14:00 Poster Session, see page 19

■ Tuesday, June 21st, 2016 ■ Tuesday, June 21st, 2016

Program Overview

Page 6: Welcome to the EMLC 2016 in Dresdenconference.vde.com/emlc2016/Call-for-Papers/Documents... · 2016-06-07 · S. Perlitz, Carl Zeiss SMS GmbH, Jena, Germany 10:35 Best Paper of PMJ

10 11

Session 3 – Wafer Lithography

Session Chairs: R. Seltmann, Globalfoundries, Dresden, Germany;C. Jaehnert, Infineon Technologies AG, Dresden, Germany;

14:00 Load dependent overlay signature variation caused by hardware outside litho

M. Ruhm, T. Hertzsch, S. Thierbach, E. Cotte, M. Thakur, D. Prochnow, B. Schulz, R. Seltmann,

Global Foundries Dresden, Germany

14:20 Impact of electrode area ratio of a bipolar elec-trostatic chuck on long termstability of wafer potential

O. Waldmann, M. Ziemann, Berliner Glas KGaA, Berlin

14:40 Improving contact layer patterning using SEM contour based etch model

F. Weisbuch, A. Lutich, J. Schatz, T. Hertzsch, H.-P. Moll, Globalfoundries, Dresden, Germany

15:00 A thick photoresist process for high aspect ratio MEMS applications

E. Laforge, R. Anthony, P. Mc Closkey, C. O‘Mathùna, Tyndall National Institute, University College Cork, Dyke Parade, Cork, Ireland

Session 4 – Mask Patterning, Metrology & Process

Session Chairs: N. Hayashi, Dai Nippon Printing Co. Ltd., Saitama, Japan;K.-D. Roeth, KLA-Tencor MIE, Weilburg, Germany

15:20 Advanced Photomask fabrication by e-beam lithography for mask aligner application

T. Weichelt1, Y. Bourgin2, M. Banasch, U.D. Zeitner3

1 Friedrich-Schiller-University Jena ² Vistec Electron Beam GmbH, Jena 3 Fraunhofer-Institute for Applied Optics and Precision Engeneering, Jena

15:40 Mask Manufacturing of Advanced Technology Designs using Multi-Beam Lithography

M. Green1, Y. Hama1, B. Dillon1, B. Kasprowicz1, I. B. Hur1, J. H. Park1, Y. Choi 1, J. McMurran 1, H. Kamberian 1, D. Chalom2, J. Klikovits2, M. Jurkovic2, P. Hudek2

1 Photronics, Inc., Boise, ID, USA 2 IMS Nanofabrication AG, Vienna, Austria

16:00-16:30 Coffee Break

Session 4 – Mask Patterning, Metrology & Process

(continued)

16:30 A Parallel Multibeam Mask Writing Method and its Impact on Data Volumes

N. Chaudhary, Y. Luo, S. A. Savari, Texas A&M Univer-sity, USA

16:50 YieldStar based reticle 3D metrology V. Vaenkatesan, J. Finders, P. ten Berge, R. Plug,

A. Sijben, T. Schellekens, H. Dillen, W. Pocobiej, V. Guerreiro Jorge; J. van Dijck, ASML Netherlands B.V., Veldhoven, The Netherlands

17:10 Towards expanding megasonic cleaning capability Z. Han1, R. Garcia1, B. Ferstl 2, G. Oetter2, U. Dietze3,

M. Samayoa3, D. Dattilo3

1 MP Mask Technology Center, LLC., Micron Teconology Inc., Boise, ID, USA 2 BASF SE, Ludwigshafen, Germany 3 SUSS Micro Tec Inc., a SÜSS MicroTec AG Company, Corona, CA, USA

■ Tuesday, June 21st, 2016 ■ Tuesday, June 21st, 2016

Program Overview

Page 7: Welcome to the EMLC 2016 in Dresdenconference.vde.com/emlc2016/Call-for-Papers/Documents... · 2016-06-07 · S. Perlitz, Carl Zeiss SMS GmbH, Jena, Germany 10:35 Best Paper of PMJ

12 13

Session 5 – Novel Approaches

Session Chairs:

A. Ehrmann, Carl Zeiss SMT GmbH, Oberkochen, Germany;H. Wolf, Photronics MZD GmbH, Dresden, Germany

17:30 The future of 2D metrology for display manufac-turing (Invited)

T. Sandstrom, Mycronic AB, Taby, Sweden

18:00 Control the Light Where You Need It; New Development in Accurate Delivery of Visible Laser Light

D. Geuzebroek, J. van Kerkhof, XiO Photonics, Enschede, the Netherlands; A. Leinse, LioniX, Enschede, the Netherlands

18:20 End of the Day Presentations

19:15 Meet in the Lobby of the Hilton Hotel

19:30 Conference Dinner at the Pulverturm, see page 28

■ Wednesday, June 22nd, 2016

Panel Session Reports

Session Chair: U. Behringer, UBC Microelectronics, Ammerbuch, Germany

08:30 Report on Panel Session of BACUS 2015 (Invited)

On EUV Mask Readiness: Do we finally kick the Ball?

B. Kasprowicz, Photronics Inc., Boise, ID, USA

08:45 Report on Panel Session of PMJ 2016 (Invited)

EUV, MPT, NIL, what Challenges lie ahead for masks?

N. Hayashi, Dai Nippon Printing Co. Ltd., Saitama, Japan

Session 6 – EUV - 1

Session Chairs: S. Wurm, ATICE LLC, Albany, NY, USA;J. Finders, ASML, Veldhoven, The Netherlands

09:00 Illumination optimization in 0.33NA EUVL and its interplay with lithographic metrics such as con-trast and overlapping process window for dark field 2-bar logic M1 building blocks (Invited)

T. Last, P. Van Adrichem, L. de Winter, J. Finders, ASML Netherlands B.V., Veldhoven, The Netherlands

09:30 Boron carbide (BC) as a promising capping material for improvement of EUV pellicle

H. C. Jeon, S. Kwon, M. J. Kim, Y. Jung, J. Kim, C. Y. Jeong, H. Kim, C.-U. Jeon, Samsung Electreonics Co. Ltd., Republic of Korea

09:50 Anamorphic imaging at high NA EUV G. Bottiglieri, T. Last, A. Colina, E. van Setten,

G. Rispens, J. van Schoot, K. van Ingen Schenau, ASML Netherlands B.V., Veldhoven, The Netherlands

10:10 EUV Pellicle and Mask Metrology for High Volume Manufacturing

C. Perera, EUV Tech Inc., Martinez CA, USA

10:30-11:00 Coffee Break

■ Tuesday, June 21st, 2016 ■ Wednesday, June 22nd, 2016

Program Overview

Page 8: Welcome to the EMLC 2016 in Dresdenconference.vde.com/emlc2016/Call-for-Papers/Documents... · 2016-06-07 · S. Perlitz, Carl Zeiss SMS GmbH, Jena, Germany 10:35 Best Paper of PMJ

14 15

Session 7 – EUV - 2 & Advanced 193i

Session Chairs:R. Seltmann, Globalfoundries, Dresden, Germany;T. Scheruebl, Carl Zeiss SMT, Jena, Germany

11:00 Characterization of optical material properties for alternative EUV mask absorber materials

F. Scholze1, C. Laubis1, V. Luong 2,4, E. Hendrickx 2, V. Philipsen 2, Arash Edrisi 3, R. van de Kruijs 3

1 Physikalisch-Technische Bundesanstalt, Berlin, Germany 2 imec, Leuven, Belgium 3 University of Twente, Enschede, The Netherlands, 4 KU Leuven, Belgium

11:20 Process Improvements with Lower Bandwidth Light Sources and the Impact of Reduced Band-width Variation

W. Conley1, P. Alagna2, G. Rechtsteiner1, S. Hsu3, Q. Zhao3, V. Timoshkov4, J. Baselman4, P. Wong5

1 Cymer LLC, San Diego, CA, USA 2 Cymer LLC, Leuven, Belgium 3 ASML Brion, San José, CA, USA 4 ASML, Veldhoven, The Netherlands 5 IMEC, Leuven, Belgium

Session 8 – Photonics

Session Chairs:J. Pozo, EPIC, Brussels, Belgium;A. Erdmann, Fraunhofer IISB, Erlangen, Germany

11:40 Photonic chip design and manufacturing T. Korthorst, M. van der Vliet, A. Bakker, PhoeniX

Software, The Netherlands; R. Stoffer, PhoeniX BV, The Netherlands

12:00 High Performance Gratings for DFB-Lasers fabricated by Direct-Write E-beam Lithography

R. Steingrueber, Z. Zhang, Fraunhofer Institut für Nach-richtentechnik, Heinrich Hertz Institut, Berlin, Germany

12:20 Photonic Integrated Circuits: New Challenges for Lithography

J. Bolten, T. Wahlbrink, A. Prinzen, C. Porschatis, H. Lerch, A. Lena Giesecke, AMO GmbH, Aachen, Germany

12:40-14:00 Lunch Break

13:15-14:00 Poster Session, see page 19

■ Wednesday, June 22nd, 20166 ■ Wednesday, June 22nd, 2016

Program Overview

Page 9: Welcome to the EMLC 2016 in Dresdenconference.vde.com/emlc2016/Call-for-Papers/Documents... · 2016-06-07 · S. Perlitz, Carl Zeiss SMS GmbH, Jena, Germany 10:35 Best Paper of PMJ

16 17

Session 9 – Nano-Imprint Lithography

Session Chairs:N. Hayashi, Dai Nippon Printing Co. Ltd., Saitama, Japan;M. Muehlberger, Profactor GmbH, Steyr-Gleink, Austria

14:00 Nanoimprint System Development and Status for High Volume Semiconductor Manufacturing

H. Hiura1, Y. Takabayashi 1, T. Takashima1, K. Emoto1, J. Choi 2, P. Schumaker 2

1 Canon Inc, Tochigi, Japan 2 Canon Nanotechnologies, Inc., Austin, TX, USA

14:20 SCIL Nanoimprint Solutions; high volume soft NIL for wafer scale sub-10nm resolution

R. Voorkamp, M.A. Verschuuren, R. van Brakel, Philips Group Innovation, Intellectual Property & Standards, Eindhoven, The Netherlands

14:40 A Roll-to-Plate UV-nanoimprint tool for micro and nano-optical applications

L. Yde1, J. Stensborg1, T. Voglhuber ², W. Hackl ²,³, H. Außerhuber², S. Wögerer ², L. Lindvold 1, T. Fischinger ², M. Mühlberger ²

1 Stensborg A/S, Roskilde, Denmark 2 Profactor GmbH, Steyr-Gleink, Austria 3 Forster Verkehr- und Werbetechnik GmbH, Waidhofen an der Ybbs, Austria

15:00-15:30 Coffee Break

Session 10 – Modeling and Computational Process Correction

Session Chairs:A. Erdmann, Fraunhofer IISB, Erlangen, Germany;G. Fenger, Mentor Graphics, Wilsonville, OR, USA

15:30 Exploration of alternative absorber materials for EUV lithography: A simulation study

A. Erdmann1, D. Xu1, P. Evanschitzky1, V. Luong 2,3, V. Philipsen 2, E. Hendrickx 2

1 Fraunhofer IISB, Erlangen, Germany ² IMEC, Leuven, Belgium 3 KU Leuven, Belgium

15:50 Enhancing EUV mask blanks usability through smart shift and blank-design pairing optimization

R. K. Soni1, S. Paninjath1, M. Pereira1, P. Buck 2

¹ Mentor Graphics India Pvt. Ltd., Bangalore, India 2 Mentor Graphics Corp., Wilsonville, OR, USA

16:10 Simulation model of evaporation, shrinkage and deformation inside chemically amplified photore-sists

T. Muelders, H.-J Stock, W. Gao, W. Demmerle, Synopsys GmbH, Germany and Belgium

■ Wednesday, June 22nd, 2016 ■ Wednesday, June 22nd, 2016

Program Overview

Page 10: Welcome to the EMLC 2016 in Dresdenconference.vde.com/emlc2016/Call-for-Papers/Documents... · 2016-06-07 · S. Perlitz, Carl Zeiss SMS GmbH, Jena, Germany 10:35 Best Paper of PMJ

18 19

Session 11 – Using the Data

Session Chairs:J. H. Peters, Carl Zeiss, SMT Jena, Germany;B. Kasprowicz, Photronics Inc., Boise, ID, USA

16:30 Translation of lithography variability into after-etch performance: monitoring of “golden” hotspot

J. Finders, T. Kiers, ASML Netherlands B.V., Veldhoven, The Netherlands; B. le Gratiet, STMicroelectronics, Crolles, France; A. Lakcher, STMicroelectronics, Crolles, France, LTM CNRS, Grenoble, France

16:50 Smart mask ship to control for enhanced on wafer CD performance

C. Utzny, AMTC, Dresden, Germany; R. Seltmann, Globalfoundries, Dresden, Germany

Session 12 – More than Moore, IoT & Manufacturing Challenges

Session Chairs:U. Behringer, UBC Microelectronics, Ammerbuch, Germany;B. Le Gratiet, STMicroelectronics, Crolles, France

17:10 Smart Integrated Systems – hardware basis for the IoT

D. Reuter, Fraunhofer ENAS, Chemnitz, Germany; M. Vogel, K. Hiller, Chemnitz University of Technology, Germany; T. Gessner, Fraunhofer ENAS, Chemnitz, Germany

17:30 CHAM: Weak signals detection through a new multi variate algorithm for process control

F. Bergeret1, C. Soual1, B. Le Gratiet 2

¹ Ippon Innovation, Toulouse, France 2 STMicroelectronics, Crolles, France

17:50 Farewell

18:00 End of Conference

■ Poster Session 13:15 – 14:00 on Tuesday, June 21st and Wednesday, June 22nd, 2016

Wafer Litho

SRAF insertion for VIA-like layers using laSRAF methodA. Lutich, Globalfoundries, Dresden, Germany

EUV Lithography

Contrast: EUV LithographyE. Psara, J. Lubkoll, F. Wittebrood, E. van Setten, J. Finders, S. Wuister, T. Last, G. Rispens, D. Oorschot, ASML Nether-lands B.V., Veldhoven, The Netherlands

Researching new EUV pellicle films for source powers beyond 250 wattsM. Nasalevich, P. J. van Zwol, E. Abegg, P. Voorthuijzen, D. Vles, M. Péter, W. van der Zande, H. Vermeulen, ASML Netherlands B.V., Veldhoven, The Netherlands

Nano-Imprint-Lithography

NIL Industrial Platform assessment within INSPIRE projectH. Teyssedre, S. Landis, S. Bos, L. Pain, CEA-LETI, Grenoble, France; V. Schauer, M. Laure, W. Zorbach, C. Thanner, G. Mittendorfer, M. Eibelhuber, M. Wimplinger, EV Group E.Thallner GmbH, St. Florian am Inn, Austria

■ Wednesday, June 22nd, 20166 ■ Tuesday, June 21st, 2016 • Wednesday, June 22nd, 2016

Program Overview Poster Session

Page 11: Welcome to the EMLC 2016 in Dresdenconference.vde.com/emlc2016/Call-for-Papers/Documents... · 2016-06-07 · S. Perlitz, Carl Zeiss SMS GmbH, Jena, Germany 10:35 Best Paper of PMJ

20 21

Modeling and Computational Process Correction Bayesian Analysis for OPC ModelingA. Burbine, G. Fenger, J. Sturtevant, D. Fryer, Mentor Gra-phics Corporation, Wilsonville, OR, USA

Simulation flow and layout printability for laser direct write lithographyT. Onanuga, Fraunhofer IISB & Friedrich-Alexander University, Erlangen-Nuremberg, Germany; A. Erdmann, Fraunhofer IISB, Erlangen, Germany

Evaluation of photomask shape uncertainties on level-set-based inverse lithography with a rigorous mask modelX. Wu1, 2, A. Erdmann 2, T. Fuehner 2, E. Y. Lam1

1 The University of Hong Kong, Pokfulam, Hong Kong2 Fraunhofer IISB, Erlangen, Germany

Using the Data, More than Moore, IoT & ManufacturingChallenges

A study of SU-8 photoresist in deep trenches for silicon-embedded microinductorsE. Laforge, C. Rabot, N. Wang, Z. Pavlovic, P. McCloskey, C. Ó Mathúna, Tyndall National Institute, Ireland

Industrial implementation of spatial variability control by real time SPCO. Roule, F. Pasqualini, M. Borde, STMicroelectronics, Crolles, France

Combination of Direct Laser Writing and PDMS molds for nano- and microfabrication M. Rumler1, 2, 3, L. Baier4, F. Michel4, M. Becker5, M. Foerthner1, 3 , M. Rommel 41 Electron Devices (LEB), University of Erlangen-Nuremberg, Germany2 Cluster of Excellence Engineering of Advanced Materials (EAM), Erlangen, Germany3 Graduate School in Advanced Optical Technologies (SAOT), Erlangen, Germany4 Fraunhofer Institute for Integrated Systems and Device Technology (IISB), Erlangen, Germany5 NanoWorld Services GmbH, Erlangen, Germany

CD process control through machine learningC. Utzny, AMTC, Dresden, Germany

■ Tuesday, June 21st, 2016 • Wednesday, June 22nd, 201 ■ Tuesday, June 21st, 2016 • Wednesday, June 22nd, 2016

Poster Session

Page 12: Welcome to the EMLC 2016 in Dresdenconference.vde.com/emlc2016/Call-for-Papers/Documents... · 2016-06-07 · S. Perlitz, Carl Zeiss SMS GmbH, Jena, Germany 10:35 Best Paper of PMJ

22 23

Conference Information

Conference Hours

Tuesday, June 21st, 2016 09:00 am to 06:30 pmWednesday, June 22nd, 2016 09:00 am to 06:00 pm

Registration Hours

Tuesday, June 21st, 2016 08:00 am to 05:00 pmWednesday, June 22nd, 2016 08:00 am to 11:00 am

Technical Exhibition

Parallel to the conference presentations on Tuesday and Wed-nesday we offer you to take part in the technical exhibition.

If you intend to participate in the technical exhibition as an exhibitor, please contact

UBC MicroelectronicsDr. Uwe BehringerAuf den Beeten 572119 Ammerbuch, GermanyPhone: ++49 171-4553196Fax: ++49 7073-50216 e-Mail: [email protected]

Information for Authors

Your Presentation and CV

The most convenient way for you and the organizers is to provide your slides in the form of MS-Powerpoint format (ppt) on a USB-stick, as early as possible, but latest during the break before your session. You should download and test them on the presentation computer. Your Session Chair will surely be glad to assist you. You may also use your own laptop compu-ter, but experience has shown this to be less reliable.

Please send in – if not yet done – your short CV to the Conference Chairs and to your Session Chair, so that he may introduce you appropriately to the audience.

Best Poster Award

Members of the EMLC2016 Program Committee will elect the EMLC 2016 Best Poster.

Best Paper Award

All conference attendees will elect the Best Paper of the EMLC 2016. Manuscripts not received until the first day of the confe-rence can not be elected for Best Paper.

The Best Paper will be invited to present at BACUS 2016 in San José, CA, USA, in September 2016 and at PMJ 2017 in Yokohama, Japan, in April 2017.

Page 13: Welcome to the EMLC 2016 in Dresdenconference.vde.com/emlc2016/Call-for-Papers/Documents... · 2016-06-07 · S. Perlitz, Carl Zeiss SMS GmbH, Jena, Germany 10:35 Best Paper of PMJ

24 25

General Information

EMLC 2016 Office

For detailed information please contact:

VDE/VDI-Society Microelectronics Microsystems and Precision Engineering (GMM) Dr. Ronald SchnabelStresemannallee 15, 60596 Frankfurt am Main, GermanyPhone: ++49 69-6308-227Fax: ++49 69-6308-9828e-Mail: [email protected]

During the conference: Phone: ++49 171 4695 118

Conference Fees until after May 24th, 2016 May 24th, 2016

Non-Members € 560.00 € 650.00

VDE, VDI Members* € 530.00 € 630.00

Lecturer € 450.00 € 550.00

Students** € 150.00 € 200.00

* Participants claiming for the membership fee must attach a copy of their membership card to the registration form.

** A photocopy of the student card must be attached.

The conference fee includes admission to all sessions as well as to the daily coffee-breaks, lunches and conference banquet dinner as well as one copy of the CD-ROM-proceedings.

Conference Registration

To register for EMLC 2016, please fill in the registration form attached to this booklet and return it to VDE Conference Services, Stresemannallee 15, 60596 Frankfurt, Germany. To benefit from the “early-bird-discount”, VDE Conference Services must receive the form before May 24, 2016. Full payment or credit card information must accompany all registrations in order to be accepted. Completed forms may be sent by fax (++49 69 6308 144) or e-mail ([email protected]). A confirmation of the registration will be sent upon receipt of full payment.

Online Registration

Registrations for the conference and payment by credit card may be done online. More detailed information, please see on the conference’s homepage which is www.EMLC2016.com

Payment of Conference Fee

Payment for registration, including bank charges and proces-sing fees, must be made in Euro. The conference fee has to be fully paid in advance by credit card. Your registration can only be confirmed if VDE-Conference Services has recorded receipt of your full payment.

Cancellation

In case of cancellation, provided that written notice has been given to VDE-Conference Services before May 24, 2016, the registration fee will be fully refunded less a handling fee of EURO 80.00. After May 24, 2016, no refund will be made. Pro-ceedings and CD-ROM-proceedings will then be sent to the registrant after the conference.

Conference Venue

Hilton DresdenAn der Frauenkirche 501069 Dresden, GermanyPhone: ++49 351/86420, Fax: ++49 351/8642-725http://www.hilton.com/

The Hilton Dresden is located in the heart of the old town, next to the Frauenkirche.

Page 14: Welcome to the EMLC 2016 in Dresdenconference.vde.com/emlc2016/Call-for-Papers/Documents... · 2016-06-07 · S. Perlitz, Carl Zeiss SMS GmbH, Jena, Germany 10:35 Best Paper of PMJ

26 27

Transport

By AirGetting to and from Dresden airport:

Bus Service, typical minimum charge is EURO 8,00Limousine, typical minimum charge is EURO 70,00Taxi, typical minimum is EURO 25,00

By trainFrom Frankfurt in 5 hours; from Berlin in 3 hours.

By carFrom the A14/A4 (coming from Chemnitz/Leipzig), take exit 78 towards Dresden Altstadt. Follow the B6 signs in direction of Zentrum. At the Bremer/Hamburger Strasse junction, turn left into Bremer Strasse. Drive along the Elbe riverbank until you see signs for the Hilton Dresden hotel on your right. The 4-mile journey normally takes around 10 minutes from exit 78 to the hotel forecourt.

Parking

The hotel car park has 136 spaces. Parking costs EURO 21.00 per day. There is a valet service at no extra charge. Please book your parking space when booking your room at the hotel.

Hotel Reservation

A block of rooms has been reserved for the EMLC 2016 parti-cipants at the Hilton Hotel Dresden.

The special hotel room rate is:

Single Hilton Guest Room rates from 134.00 EURTwin Hilton Guest Room rates from 154.00 EUR

per night, including breakfast.

Accomodation is NOT included in the conference fee.

For reservation please contact the Hilton Hotel or go to the conference websit: www.emlc2016.com

Hilton DresdenAn der Frauenkirche 501069 Dresden, Germany Phone: ++49 351/86420 Fax: ++49 351/8642-725 e-Mail: [email protected]://www.hilton.com/

You should reserve your rooms by May 12, 2016, as after that date our rooms blocked at a group rate might be released by the hotel for general reservations. Please use the following code for booking: “EMLC 2016”.

All payments related to accommodation have to be made in the hotel before departure.

Internet Access

WiFi tickets are available on request at the conference regis-tration desk. For guests of the Hilton Hotel using the EMLC rate WiFi is included in the booking rate.

Page 15: Welcome to the EMLC 2016 in Dresdenconference.vde.com/emlc2016/Call-for-Papers/Documents... · 2016-06-07 · S. Perlitz, Carl Zeiss SMS GmbH, Jena, Germany 10:35 Best Paper of PMJ

28 29

Banquet DinnerTuesday, June 21st, 2016, 07:30 pm – 11:00 pm

Pulverturm GmbH & Co. KGAn der Frauenkirche 12D-01067 DresdenPhone: ++49 351262600Fax: ++49 351 26 26 011e-Mail: info @pulverturm-dresden.dewww.pulverturm-dresden.de

Insurance

The organisers may not be held responsible for any injury to participants or damage, theft and loss of personal belongings.

Visa Letter Enquiry

All attendees who need a visa confirmation letter are requested to send an email to the organizer. Please note that visa applica-tion letters will be sent only after completed registration.

The following information is required: - full name - company name- date of birth - Passport No.- date of expiry - date of issue - place of issue

About Dresden

The Elbe and the charming landscape between Saxon Switzerland and Meissen determine the nature in which the city on the Elbe river is embedded. The climate is equally beneficial for the development of the arts and for viniculture. The inhabi-tants are known for their own special charm, Saxon hospitality is proverbial.

At the same time Dresden is a modern city with the flair of the former Saxon residence – simply a place with life style. Many million guests visit Dresden every year.

More information you can find at: http://www.dresden.de

Venue: Hilton Hotel in Dresden

Dresden atthe River Elbe

Page 16: Welcome to the EMLC 2016 in Dresdenconference.vde.com/emlc2016/Call-for-Papers/Documents... · 2016-06-07 · S. Perlitz, Carl Zeiss SMS GmbH, Jena, Germany 10:35 Best Paper of PMJ

30 31

Notes Notes

Page 17: Welcome to the EMLC 2016 in Dresdenconference.vde.com/emlc2016/Call-for-Papers/Documents... · 2016-06-07 · S. Perlitz, Carl Zeiss SMS GmbH, Jena, Germany 10:35 Best Paper of PMJ

32

The VDE/VDI-GMM and the Members of the 32nd European Mask and Lithography Conference, EMLC 2016 Program Committee, would like to express their sincere appreciation to all the sponsors and coopering partners mentioned below for their support

Cov

er p

ictu

re: C

ourt

esy

of T

oppa

n P

hoto

mas

ks