16
Advanced Metallization Conference 2002 (AMC 2002) Proceedings of the Conference held October 1-3, 2002, in San Diego, California, U.S.A., and October 29-30, 2002, University of Tokyo, Tokyo, Japan. This Conference is MRS affiliated and sponsored by Continuing Education in Engineering, University Extension, University of California at Berkeley, California, U.S.A. EDITORS: Bradley M. Melnick Motorola, Inc. Austin, Texas, U.S.A. Timothy S. Cale Rensselaer Polytechnic Institute Troy, New York, U.S.A. Shigeaki Zaima Nagoya University Nagoya, Japan Tomohiro Ohta Tokyo Electron Ltd. Tokyo, Japan IM1RISI Materials Research Society Warrendale, Pennsylvania ( UNIVERSITATSB1BLIOTHEK ^ i 'i\ •* iC v. -

Advanced Metallization Conference 2002 (AMC 2002) Metallization Conference 2002 (AMC 2002) Proceedings of the Conference held October 1-3, 2002, in San Diego, California, U.S.A., and

Embed Size (px)

Citation preview

Page 1: Advanced Metallization Conference 2002 (AMC 2002) Metallization Conference 2002 (AMC 2002) Proceedings of the Conference held October 1-3, 2002, in San Diego, California, U.S.A., and

Advanced MetallizationConference 2002

(AMC 2002)

Proceedings of the Conference held October 1-3, 2002, in San Diego, California,U.S.A., and October 29-30, 2002, University of Tokyo, Tokyo, Japan. ThisConference is MRS affiliated and sponsored by Continuing Education in Engineering,University Extension, University of California at Berkeley, California, U.S.A.

EDITORS:

Bradley M. MelnickMotorola, Inc.

Austin, Texas, U.S.A.

Timothy S. CaleRensselaer Polytechnic Institute

Troy, New York, U.S.A.

Shigeaki ZaimaNagoya University

Nagoya, Japan

Tomohiro OhtaTokyo Electron Ltd.

Tokyo, Japan

IM1RISIMaterials Research Society

Warrendale, Pennsylvania

( UNIVERSITATSB1BLIOTHEK

^ i 'i\ •* i C v. -

Page 2: Advanced Metallization Conference 2002 (AMC 2002) Metallization Conference 2002 (AMC 2002) Proceedings of the Conference held October 1-3, 2002, in San Diego, California, U.S.A., and

CONTENTS

Preface xxi

Acknowledgments xxiii

Materials Research Society Conference Proceedings xxv

ADVANCED INTERCONNECTS,3D INTEGRATION, AND PACKAGING

*3D-Integration: Trends and Opportunities—An Overview 3Simon Thomas

*Recent Advances for Nano Interconnects: Conductor Reliabilityand Resistivity 13

G. Schindler, W. Steinhogl, G. Steinlesberger, M. Traving,and M. Engelhardt

*Interconnect Issues for Integrated MEMS Technology 21Tsu-Jae King, Roger T. Howe, Marie-Ange Eyoum, andSunil A. Bhave

*3D Wafer Stacking Technology 29Scott List, Clair Webb, and Sarah Kim

*3D Interconnects Using Cu Wafer Bonding: Technology andApplications 37

Rafael Reif, Chuan Seng Tan, Andy Fan, Kuan-Neng Chen,Shamik Das, and Nishi Checka

Processing of Inter-Wafer Vertical Interconnects in 3D ICs 45J.-Q. Lu, K.W. Lee, Y. Kwon, G. Rajagopalan, M. McMahon,B. Altemus, M. Gupta, E. Eisenbraun, B. Xu, A. Jindal,R.P. Kraft, J.F. McDonald, J. Castracane, T.S. Cale,A. Kaloyeros, and R.J. Gutmann

Face-to-Face Chip Integration With Full Metal Interface 53H. Huebner, O. Ehrmann, M. Eigner, W. Gruber, A. Klumpp,R. Merkel, P. Ramm, M. Roth, J. Weber, and R. Wieland

*Invited Paper

Page 3: Advanced Metallization Conference 2002 (AMC 2002) Metallization Conference 2002 (AMC 2002) Proceedings of the Conference held October 1-3, 2002, in San Diego, California, U.S.A., and

Application of a Global-Local Random-Walk Algorithm forThermal Analysis of 3D Integrated Circuits 59

J. Kalyanasundharam, R.B. Iverson, E.T. Thompson, V. Prasad,T.S. Cale, R.J. Gutmann, and Y.L. Le Coz

Wire Bonding Failure Mechanisms and Simulations of Cu Low-kIMD Chip Packaging 67

T.C. Huang, M.S. LiangB, T.T. Chao, T.L. Lee, S.C. Chen,Chin Hsia, and M.S. Liang

Time-Modulated Cu-Plating Technique for Fabricating High-Aspect-RatioVias for Three-Dimensional Stacked LSI System 75

H. Yonemura, M. Tomisaka, M. Hoshino, K. Takahashi,and H. Kadota

CMP

Cu CMP Using Fixed Abrasive (FX-CMP) for Damascene Interconnection 83S. Katagiri, U. Yamaguchi, M. Kaise, S. Kondo, F. Kanai,M. Honda, and N. Yamada

A Robust CMP Process for Sub-0.13 fim Copper/Low-k Interconnects 89T.C. Tsai, S.C. Hu, L.Y. Fang, C.L. Hsu, S.H. Hsu, Z.H. Lin,Fu Yang, Art Yu, M.H. Lin, H.C. Chen, and W.Y. Hsieh

Galvanic Corrosion Testing of WC,N, Barrier Metal in H2O2Based Slurries 95

Didem Ernur, Jorg Schuhmacher, Valentina Terzieva,Denis Shamiryan, and Karen Maex

Investigation of Oxidizer in Liner Slurry on Copper Chemical-MechanicalPolishing for Advanced BEOL Interconnections 103

Chia-Lin Hsu, Teng-Chun Tsai, Ming-Hsu Lin,Hsueh-Chung Chen, Chien-Chung Huang, Wen-Yi Hsieh,and Po-Wen Yen

An Integrated Multiscale Mechanical Model for Chemical MechanicalPlanarization 109

Jongwon Seok, Cyriaque P. Sukam, Andrew T. Kim, John A. Tichy,and Timothy S. Cale

Fundamental Study of Low Friction Cu Abrasive-Free Polishing 115Youhei Yamada, Nobuhiro Konishi, and Osamu Hirai

Page 4: Advanced Metallization Conference 2002 (AMC 2002) Metallization Conference 2002 (AMC 2002) Proceedings of the Conference held October 1-3, 2002, in San Diego, California, U.S.A., and

Cu-CMP Corrosion Control Technique Using Gas Dissolved Water 121Y. Matsui, N. Miyashita, and T. Yoda

RELIABILITY, TEST, ANDCHARACTERIZA TION

* Interface Reliability of High Performance Interconnects 127C. Goldberg, M. Freeman, S. Kirksey, D. Sieloff, S. Filipiak,L. Mercado, G. Braeckelmann, K.H. Junker, N. Grove, S. Pozder,T. Nguyen, C. Prindle, J. Martin, and V. Wang

Scaling Rule for Electromigration in Cu Dual-DamasceneInterconnects on W 139

C.-K. Hu, L. Gignac, S.G. Malhotra, E. Liniger, and A.K. Stamper

Fundamentals and Improvements of Line-to-Line BreakdownReliability in Copper Damascene Process 147

L.J. Li, Z.C. Wu, B.T. Chen, Y.C. Lu, W. Chang, S.M. Jang,and M.S. Liang

Voiding in Cu Dual Damascene Metallization Due to Cu DensificationDuring Thermal Stress 155

C. Roussel, S. Kordic, O. Sicardy, M. Ignat, L. Dumas,S. Orain, and J.C. Barbe

Geometrical Aspects of Stress-Induced Voiding in CopperInterconnects 161

A. von Glasow, A.H. Fischer, M. Hierlemann, S. Penka,and F. Ungar

The Effect of Line Length on the Electromigration Reliability ofCu Interconnects 169

C.S. Hau-Riege, A.P. Marathe, and V. Pham

Evaluation of Interface Adhesion of Thin Film Layers byFour-Point Bending Test 177

S.Y. Chang, S.M. Jang, S.J. Lin, C.H. Yu, and M.S. Liang

Yield Study of Cu/Black Diamond Dual Damascene InterconnectsUsing Burn-In 185

X. Bu, N.Y. Huang, Q. Guo, and A. Krishnamoorthy

*Invited Paper

Page 5: Advanced Metallization Conference 2002 (AMC 2002) Metallization Conference 2002 (AMC 2002) Proceedings of the Conference held October 1-3, 2002, in San Diego, California, U.S.A., and

Resistance Degradation Profile in Electromigration ofDual-Damascene Cu Interconnects 191

Q. Guo, A. Krishnamoorthy, N.Y. Huang, and P.D. Foo

The Numerical Analysis of Copper Transport in SiO2 DuringBias Temperature Stress Test 197

Ki-Su Kim, Jang-Yeon Kwon, Young-Chang Joo, andKi-Bum Kim

Comparison of Copper Interconnect Electromigration Behaviorsin Various Low-k Materials for 0.13 u,m Technology 203

M.H. Lin, G.S. Yang, C.Y. Wu, C.C. Lin, M.S. Yeh,Y.L. Lin, K.P. Chang, J.K. Chen, Alan Liang, Tahui Wang,and Y.J. Chang

Adhesion Evaluation by Nanoscratch Method in StackedThin Film Structures 209

T. Nasuno, N. Kojima, N. Kaji, S. Tokitoh, J. Shimanuki,J. Ye, and S. Ogawa

3D Coupled Simulation of Electromigration in Copper-Low-kMultilevel Interconnect 215

V. Sukharev, C.W. Park, and R. Choudhury

Thermal Induced Failure of Organic Low-k/Cu MultilevelInterconnect 221

M.-S. Yeh, C.S. Hsiung, and P.W. Yen

A Study of Via Bottom Profile on Via Failure in Multi-LevelCu Interconnection 227

Jeong-Hoon Son, Sang-Rok Hah, Ju-Hyuk Chung,Byoung-Kyu Park, Choong-Hwan Shin, Jin-Sung Chung,Hyo-Sang Kim, and Kwang-Myeon Park

Electromigration Reliability Study of Self-Ionized Plasma Barriersfor Dual Damascene Cu Metallization 233

J.C. Lin, S.K. Park, K. Pfeifer, R. Augur, V. Blaschke,S.L. Shue, C.H. Yu, and M.S. Liang

A Comparison of CVD TiN(Si) and PVD Ta Metal Barriers Using aNovel Metal Barrier Reliability Test Structure 239

G.W. Book, S. Smith, and K. Pfeifer

Page 6: Advanced Metallization Conference 2002 (AMC 2002) Metallization Conference 2002 (AMC 2002) Proceedings of the Conference held October 1-3, 2002, in San Diego, California, U.S.A., and

Influence of Sample Preparation on Interfacial Adhesion EnergyUsing the Four-Point Bend Technique 243

E.R. Engbrecht, S. Smith, and K. Pfeifer

*Electromigration Characteristics and Characterization ofMultilevel Cu Interconnects 249

Hisao Kawasaki

Time-Dependent Dielectric-Constant Increase—Novel Criteriafor Low-k Materials Reliability 259

Daisuke Ryuzaki, Takeshi Ishida, and Takeshi Furusawa

Influence of Metal Electrodes on Leakage Current in MSQ FilmsWith or Without Pores 265

N. Sasaki, T. Oda, and T. Kikkawa

Characterization of Porous Low-k Dielectrics by Gas Adsorption Techniques 273C. Negoro, N. Hata, K. Yamada, H.S. Zhou, and T. Kikkawa

Off- and On-Time Dependences of Electromigration MTF inPulsed DC Stressing Tests 279

S. Shingubara, S. Miyazaki, H. Sakaue, and T. Takahagi

Extraction of Interconnect-Length-Distribution Parameters FromCAD Data 285

Hidenari Nakashima, Naohiro Takagi, and Kazuya Masu

Derivation of Film Characteristics and Prediction of ElectromigrationFailure in Passivated Polycrystalline Line 291

Kazuhiko Sasagawa, Masataka Hasegawa, Masumi Saka, andHiroyuki Abe

Transmission Line Interconnect Structure in Si ULS1 297Hiroyuki Ito, Hiyouko Shinoki, Yoshisato Yokoyama, andKazuya Masu

METALLIZATION

*Reliability of Copper Inlaid Structures—Geometry andMicrostructure Effects 305

Ehrenfried Zschech, Holm Geisler, Inka Zienert, Hartmut Prinz,Eckhard Langer, Andreas M. Meyer, and Gerd Schneider

"Invited Paper

Page 7: Advanced Metallization Conference 2002 (AMC 2002) Metallization Conference 2002 (AMC 2002) Proceedings of the Conference held October 1-3, 2002, in San Diego, California, U.S.A., and

Impact of Post ECD Anneal on Copper Layer Properties 313T. Mourier, F. Moreau, S. Maitrejean, and T. Morel

Grain Formation During Polycrystalline Thin Film Growth 321Max O. Bloomfield, Yeon Ho Im, Hanchen Huang, andTimothy S. Cale

Cobalt Alloy Thin Films for Encapsulation of Copper 329Srinivas Gandikota, Deenesh Padhi, Sivakami Ramanathan,Chris McGuirk, Mehul Naik, Suketu Parikh, Katsuyuki Musaka,Joseph Yahalom, and Girish Dixit

Electrodeposition of Copper-Tin Alloy Films for Enhancement ofElectromigration and Stress Migration Resistance in ULSI Era 337

Deenesh Padhi, Chris McGuirk, Hoa Bin Nguyen,Sivakami Ramanathan, Srinivas Gandikota, Katsuyuki Musaka,Suketu Parikh, and Girish Dixit

Resistivity of Ultra-Narrow Cu Interconnects Fabricated WithElectron Beam Lithography 345

W. Wu, R. Jonckheere, Z. Tokei, M. Stucchi, H. Struyf,I. Vos, H. Bender, and K. Maex

Advanced Electroless Ag-W Thin Films for ULSI Metallization 349Vadim Bogush, Alexandra Inberg, Nathan Croitoru,Valery Dubin, and Yosi Shacham-Diamand

Deposition of Platinum Thin Films by MOCVD Using a DirectLiquid Injection System 355

O. Valet, P. Doppelt, P.K. Baumann, M. Schumacher, andH. Guillon

Effects of the Leveler Component on Gap Filling Profiles for theCopper Damascene Electroplating Process 361

T. Haba, T. Itabashi, H. Akahoshi, and H. Miyazaki

Pattern Density Effects on Film Profile Evolution During ECD 367Yeon Ho Im, Max O. Bloomfield, Suchira Sen, andTimothy S. Cale

Overcoming Terminal Effects During Electrochemical Depositionof Copper Films for 300 mm Damascene Interconnect Applications 373

John Klocke, Paul McHugh, Greg Wilson, Kevin Ritari,Mark Roberts, and Tom Ritzdorf

Page 8: Advanced Metallization Conference 2002 (AMC 2002) Metallization Conference 2002 (AMC 2002) Proceedings of the Conference held October 1-3, 2002, in San Diego, California, U.S.A., and

Electrochemical Deposition and Characterization of High PerformanceCuAg-Thin Film Metallizations for Interconnects and SAW-Electrodes 379

S. Menzel, S. Strehle, M. Herrmann, H. Schlorb, H. Wendrock,and K. Wetzig

Enhancement of Contact Filling Characteristics in CVD-AI MetallizationWith Plasma-Treated MOCVD-TiN Wetting Layer 385

Jung Hun Seo, Byung Hee Kim, Ju Young Yun, Sang Bom Kang,Gil Heyun Choi, U In Chung, and Joo Tae Moon

Surface and Grain Boundary Scattering: A Modeling Study of theElectrical Resistivity in Sub-50 nm Copper Lines 391

W. Steinhogl, G. Schindler, G. Steinlesberger, M. Traving,and M. Engelhardt

Microstructure of Cu Damascene Nano-Interconnects 397G. Steinlesberger, W. Steinhogl, G. Schindler, M. Traving,M. Engelhardt, and E. Bertagnolli

Copper Seed Layer Scaling for Advanced Interconnects:Extendibility of I-PVD 403

Zs. Tokei, S. Demuynck, I. Vervoort, B. Mebarki, T. Mandrekar,S. Guggilla, and K. Maex

Chemical Fluid Deposition of Copper Films 409Albertina Cabanas and James J. Watkins

Effects of Underlying Dielectrics on Properties and Reliability ofCu-Based Metallization System 415

Keng-Liang Ou, Wen-Fa Wu, Chang-Pin Chou, Yi-Chuan Tu,Chih-Yuan Ting, and Ben-Zu Wan

Bulk CVD Tungsten and Pulsed Nucleation Layer Tungsten DepositionMethods and the Impact on Film Conformality, Crystallography,Microstructure and Chemical Mechanical Planarization RemovalRate of Tungsten 421

Darrell L. Simpson, Erik Houge, Maxwell Lippitt, Ryan Maynard,Cathy Vartuli, Sailesh M. Merchant, Karthik Subramanian,Moosung Chae, Gerard C. D'Couto, Aaron Fellis, andKarl B. Levy

Selective Silicidation of Cobalt Using SiH4, and Si2H6 forCu Metallization 427

Rika Hirai, Suguru Noda, Hiroshi Komiyama, andYukihiro Shimogaki

Page 9: Advanced Metallization Conference 2002 (AMC 2002) Metallization Conference 2002 (AMC 2002) Proceedings of the Conference held October 1-3, 2002, in San Diego, California, U.S.A., and

Integration of CVD Cu Seed With CVD Cu Barrier for DualDamascene Cu Metallization 433

Sangkyun Park, K. Pfeifer, Masami Shibagaki,Atsushi Sekiguchi, Tomoaki Koide, Takafumi Kuninobu,Susumu Akiyama, Woo Sig Min, and Sung Gyu Pyo

The Effects of Chlorine in Contact Resistance of TiSi2 FilmsDeposited by PECVD-Ti in Tungsten Bit-Line Stud Process 439

Kwang Jin Moon, Hee Sook Park, Myoung Bum Lee,Hyun Soo Kim, Seung Gil Yang, Sang Bom Kim,Gil Heyun Choi, U In Chung, and Joo Tae Moon

Al-CVD Technology Using MPA [methylpyrrolidine alane] 445Kazuya Masu, Manabu Sakamoto, Jin I. Lee, Kei Furuta,Masanobu Hatanaka, Yoshikazu Takahashi, Michio Ishikawa,and Yuji Furumura

Sequential Flow Deposition (SFD) of W Nucleation LayerUsing Si2H6, B2H6 and SiH4 Reduction Gases for W Plug 451

Yasutaka Mizoguchi, Kenji Suzuki, Mitsuhiro Tachibana,and Daisuke Abe

In Situ Cleaning Solution to High Via Resistance Issue onFloating Metal Pattern 457

H. Ogasawara, K. Sakou, M. Takahashi, M. Kageyama,and Y. Miyakawa

Copper Deposition Characteristics From a Supercritical CO2 Fluid 463E. Kondoh

INTEGRATION

*Productization of Cu/Low-k at the 130 and 90 nm Technology Nodes 471Hector Sanchez, Bradley Melnick, and Brad Smith

0.13 u,m Generation Integration and Manufacturing of DualDamascene Copper in FSG 485

A.K. Stamper, C. Adams, X. Chen, C. Christiansen, E. Cooney,W. Cote, J. Gambino, J. Gill, S. Luce, T. McDevitt, B. Porth,T. Spooner, A. Winslow, and R. Wistrom

•Invited Paper

Page 10: Advanced Metallization Conference 2002 (AMC 2002) Metallization Conference 2002 (AMC 2002) Proceedings of the Conference held October 1-3, 2002, in San Diego, California, U.S.A., and

Robust Low-k SiOC Integration in Cu Dual Damascene Interconnectfor 90 nm Node SoC Technology 493

M. Matsuura, Y. Nishioka, S. Matsumoto, K. Goto, T. Satake,H. Yuasa, G. Okazaki, K. Tomita, K. Hashimoto, S. Tomohisa,T. Yamashita, E. Fukada, and A. Ohsaki

A Study on the Integration of Organosilicate Glasses for AdvancedCopper/Low-k Interconnections 501

Liang-Yuan Fang, Hsueh-Chung Chen, Shao-Chung Hu,Chien-Mei Wang, Juan-Yuan Wu, Wen-Yi Hsieh, andTri-Rung Yew

Modified Silica Xerogel as a Low-k Dielectric With ImprovedMechanical Properties 507

S. Fruehauf, A. Streiter, R. Puschmann, S.E. Schulz,C. Himcinschi, CM. Flannery, T. Gessner, and D.R.T. Zahn

Demonstration of Dual Damascene 0.18 (im Cu/Black Diamond Integration 513A. Krishnamoorthy, X. Bu, Q. Guo, and V. Bliznetsov

Dielectric Bottom Anti-Reflective Coatings for the Patterning ofOrganosilicate Dual Damascene Structures 519

R. Kumar, T.K.S. Wong, and N. Singh

*Process Challenges for Integrating Copper With SiLK Dielectric 525J. Gambino, C. Adams, X. Chen, C. Christiansen, E. Cooney,W. Cote, D. Chung, K. DeVries, M. Gibson, J. Gill, R. Kontra,S. Luce, T. McDevitt, V. McGahay, B. Porth, T. Pricer,C. Senowitz, A. Stamper, H. Volz, E. Walton, Y. Wang,E. Webster, H. Wildman, and E. White

A Sacrificial Al-Seed Cu-Plating Technology for Cu Line-Pillar/STPScheme 531

S. Shishiguchi, T. Fukuda, H. Yanazawa, H. Kochiya, A. Kolics,N. Petrov, and I. Ivanov

An Imprint-Damascene Process for Cu/Low-k Interconnects 537Seiichi Kondo, Yumiko Anzai, Motoyasu Terao,Daisuke Ryuzaki, Daisuke Kodama, and Takeshi Furusawa

Influence of Si Substrate Ground on Antenna Transmission Gainfor On-Chip Wireless Interconnects 543

S. Watanabe, A.B.M.H. Rashid, and T. Kikkawa

* Invited Paper

Page 11: Advanced Metallization Conference 2002 (AMC 2002) Metallization Conference 2002 (AMC 2002) Proceedings of the Conference held October 1-3, 2002, in San Diego, California, U.S.A., and

LOW-KmiELECTRIC MA TERIALSAND CHARACTERIZATION

*Fluorocarbon Etching of Porous Silicon Dioxide: Plasma Chemistryand Surface Kinetics 551

Arvind Sankaran, Alex V. Vasenkov, and Mark J. Kushner

A New Method of Cleaning Cu/Organic Low-K Interconnect 557Sunfei Fang, Keith Wong, Chih-Chao Yang, and Larry Clevenger

A Novel Ultra Tough Organic Low-k Film With a Highly Cross-LinkedLow Density Structure 563

Nobuo Aoi, Takuya Fukuda, Hiroshi Yanazawa, Takashi Kato,and Hideo Saito

Determining Dielectric Constant Variation of SiOC Low-k FilmUsing Density Measurement 569

Weimin Li, Zhiping Yin, Gurtej S. Sandhu, and Robert J. Wilby

Preparation of Damascene Trench Sidewalls in CVD Nano-PorousUltra Low-k (k = 2.2) Films for Compatibility With MOCVD DiffusionBarriers 575

H. Donohue, J.-C. Yeoh, S. Burgess, and K. Buchanan

High-k MIM Capacitor Using ZrO2 for RF Application 583My The Doan, Arun Sreeranganathan, Lei Jun Tang, andPang Dow Foo

Improvement of Film Properties by Plasma Modification of a PorousLow-k Organosilicate Glass Material 589

Bernd E.E. Kastenmeier, Byron T. Ahlburn, Michael Gallagher,David Gidley, and Jeffrey Lee

A New Method for In-Line Measurement of the Dielectric Constantof Low-k Films 595

W. Pamler and M. Schrenk

Effects of Supercritical Processing on Ultra Low-k Films 601R.F. Reidy, B.P. Gorman, R.A. Orozco-Teran, Zhengping Zhang,Shelley Chang, and D.W. Mueller

Processing of Ultra-Low-k Xerogel Composite Films 607B.P. Gorman, Shelley Chang, D.W. Mueller, and R.F. Reidy

*Invited Paper

Page 12: Advanced Metallization Conference 2002 (AMC 2002) Metallization Conference 2002 (AMC 2002) Proceedings of the Conference held October 1-3, 2002, in San Diego, California, U.S.A., and

Experimental Determination of the Effective Relative Permittivityof Copper/Low-Er Dual Damascene Integrated Structures 613

Roey Shaviv, Yongsik Yu, Tom Mountsier, Glenn Alers,Mahesh K. Sanganeria, Naohiro Shoda, Gary W. Ray,and Bart van Schravendijk

Study of Process Impact on Low-k Dielectric in Copper Dual DamasceneInterconnect Process 619

Cheng-Yuan Tsai, Neng-Hui Yang, Wen-Yi Hsieh, andPo-Wen Yen

Integratibility Evaluation of Ultra-Low-k Dielectric Material for65 nm Technology 625

Chiao Hui Tseng, Chih Chien Liu, Tsung Tang Hsieh,Yi Fang Chiang, Jei Ming Chen, Wen Yi Hsieh, andPo Wen Yen

Integration of Plasma Deposited CF Polymer in a Copper/Low-kDamascene Architecture 629

Matthias Uhlig, A. Bertz, H. Brocke, M. Dobler, C. Flannery,G. Jnawali, D. Zeidler, and T. Gessner

How to Eliminate Voiding in Porous Low-k Dielectrics and theMechanism of Void Formation 637

J.C. Lin, R.A. Augur, B.J. Daniels, S.L. Shue, C.H. Yu,and M.S. Liang

Dielectric Constant and Young's Modulus of Organic Low-kMaterials Calculated by Molecular Orbital Method 643

Kazuyoshi Uera, Jun Kawahara, Hidenori Miyoshi,Nobuhiro Hata, and Takamaro Kikkawa

A Novel Porous Silica Film for Cu/Low-k Multilevel Interconnects 649Junya Nakahira, Katsumi Suzuki, Yoshihisa Iba,Iwao Sugiura, Kaina Suzuki, Yoshihiro Nakata,Shun-ichi Fukuyama, Ei Yano, and Takayuki Ohba

Effects of Stress Controlled by SiF4 Flow Rate and Temperature onHDP-FSG/PE-SiN Interface Delamination in 64-bit RISCMicroprocessor 655

Hyeok-Sang Oh, Sang-Rok Hah, Ju-Hyuk Chung,Dea-Gun Park, Jung-Woo Lee, Ki-Ho Kang,Byung-Lyul Park, Soo-Gun Lee, and Kwang-Myeon Park

Page 13: Advanced Metallization Conference 2002 (AMC 2002) Metallization Conference 2002 (AMC 2002) Proceedings of the Conference held October 1-3, 2002, in San Diego, California, U.S.A., and

STP With Low-k Polymer SiLK Resin 661Kimiaki Shimokawa, Seiichi Shishiguchi, Takuya Fukuda,and Hiroshi Yanazawa

Organometallic Hf and Si Precursors for Hfi_xSi,O2 Thin FilmFormation 665

M. Ishikawa, T. Kada, H. Machida, A. Ogura, and Y. Ohshita

Curing Process Window for MSQ Based Low-k Dielectrics 671T.J. Chou, S.Y. Chang, Y.H. Chen, S.N. Lee, S.M. Jang,C.H. Yu, and M.S. Liang

Quantum Chemical Study on Dielectric Constants of Siloxaneand Its Derivatives 677

Takahisa Adachi and Koichi Yamashita

A TOMIC LA YER DEPOSITION (ALD)

* Atomic-Layer Deposition Processes for Nanoscale CopperMetallization 685

Oscar van der Straten, Yu Zhu, Eric Eisenbraun, andAlain E. Kaloyeros

Atomic Layer Deposited Aluminum Oxide (AI2O3): A PromisingDielectric for Metal Insulator Metal Capacitors (MIMCAPS) 693

M. Schrenk, K.-H. Allers, A. Gschwandtner, K. Koller, andH. Koerner

Electrical and Physical Characterization of Atomic Layer DepositedThin Films for Copper Barrier Applications 701

Lynne Svedberg, Chris Prindle, Bill Brennan, J.J. Lee,Todd Guenther, Todd Ryan, Kurt Junker, Nicole Grove,Jack Jiang, Dean Denning, Iraj Shahvandi, and Katie Yu

Improving Pulse Protocols in Atomic Layer Deposition 709Vinay Prasad, Matthias K. Gobbert, Max Bloomfield,and Timothy S. Cale

Precursor Penetration and Sealing of Porous CVD SiCOH Low-kDielectric for Atomic Layer Deposition of WCxNy Barrier 717

T. Abell, D. Shamiryan, J. Schuhmacher, W. Besling, V. Sutcliffe,and K. Maex

•Invited Paper

Page 14: Advanced Metallization Conference 2002 (AMC 2002) Metallization Conference 2002 (AMC 2002) Proceedings of the Conference held October 1-3, 2002, in San Diego, California, U.S.A., and

Evaluation of ALD TaN Films for Cu Barrier Applications 725C. Basceri, N. Maity, K. Holtzclaw, V.D. Hou, S. Ramarajan,D. Chopra, S.W. Russell, G. Sandhu, C. Marcadal, H. Chung,C. Jiang, L. Chen, and M. Chang

Surface Chemistry for Halide-Based Atomic Layer Deposition ofTantalum 731

Andrew M. Lemonds, John M. White, and John G. Ekerdt

Development of Atomic Layer Deposition TiN as Electrodes ofMetal-Insulator-Metal Capacitor 737

Danny Wu, Kuo-Yin Lin, L.L. Chao, C.Y. Yu, C.H. Lo,C.S. Tsai, and C. Wang

BARRIERS

*Nanocrystalline Conformal Diffusion Barriers for CopperMetallization 745

M. Eizenberg, A. Kohn, and S. Joseph

On the Way to the 2.5 nm Barrier for End-of-Roadmap MPUs 753M. Traving, G. Schindler, G. Steinlesberger, W. Steinhogl,and M. Engelhardt

Integration of ALD WCN Into a Dual Damascene Oxide Module 759J. Schuhmacher, G. Beyer, I. Vos, V. Sutcliffe, Zs. Tokei,W. Besling, and K. Maex

Comparative Study of Electroless Co(W,P) and Co(Mo,P)Thin Films for Capping and Barrier Layer for Cu Metallization 767

A. Zylberman, Y. Shacham-Diamand, Y. Sverdlov, andN. Petrov

ALD-TaN Thin Films as Diffusion Barrier for Copper Metallization 775Kyung In Choi, Byung Hee Kim, Sang Bom Kang,Gil Heyun Choi, U-In Chung, and Joo Tae Moon

The Effectiveness of Barrier Layer Monitoring Using BiasTemperature Stress of MOS Capacitors 783

K. Kedmi-Bernard and Y. Shacham-Diamand

•Invited Paper

Page 15: Advanced Metallization Conference 2002 (AMC 2002) Metallization Conference 2002 (AMC 2002) Proceedings of the Conference held October 1-3, 2002, in San Diego, California, U.S.A., and

Evaluation of Barrier Property Against Heat Treatment andReliability Characterization of Barriers for Cu Interconnects 791

Toshio Saitoh, Tatsuyuki Saito, Kensuke Ishikawa,Junji Noguchi, Masanori Miyauchi, Ken Tsugane,Hiroko Akimori, Maki Kubo, Takayuki Oshima,and Akira Satoh

CVD TiN Metal Barrier Integrity Underlined by SpectroscopicEllipsometry Coupled With Solvent Adsorption 799

L.-L. Chapelon, V. Jousseaume, S. Maitrejean, N. Rochat,F. Pierre, P.-H. Haumesser, T. Mourier, P. Maury, B. Remiat,Ch. Le Cornec, F. Fusalba, A. Chabli, and G. Passemard

Deposition of Ultra Low Carbon Content MOCVD TiN LayersFrom Thermal Decomposition of TDEAT Co-Reacted WithNH3 and In Situ N2/H2 RF Plasma Treatment 805

S.R. Burgess, A. Price, P. Rich, P. Opdenacker, N. Rimmer,and J. MacNeil

Advanced Copper Barrier Dielectric Materials 811W.D. Gray, M.J. Loboda, W. Chen, R. Schneider, B.K. Hwang,and S.J. Kim

Multilayer Diffusion Barrier Scheme Using a Thin Metal Interlayer(M=A1, Ru, Cr, and Zr) Between TiN Films for Cu Metallization 817

Soo-Hyun Kim, Ki Tae Nam, Arindom Datta, Dae-Hwan Kang,and Ki-Bum Kim

Reactive Preclean Process Development for Advanced Cu BarrierApplications 823

Iraj Shahvandi, Chris Prindle, Dean Denning, Lynne Svedberg,Sam Garcia, Stephan Waidmann, and B.G. Sharma

Barrier Deposition on Porous Low-k Films 829D. Shamiryan, Z.S. Yanovitskaya, F. Iacopi, and K. Maex

TiN, TaN, and WXN as Diffusion Barriers for Cu on SiO2:Comparison of Capacitance-Voltage, Leakage Current, andTriangular Voltage-Sweep Tests After Bias Temperature Stressing 835

Huseyin Kizil and Christoph Steinbruchel

Influence of Target Texture on the Deposition of Titanium Films byLong Throw Sputtering 841

R. Streiter, H. Wolf, P. Belsky, W. Tirschler, H. Giegengack,N. Urbansky, and T. Gessner

Page 16: Advanced Metallization Conference 2002 (AMC 2002) Metallization Conference 2002 (AMC 2002) Proceedings of the Conference held October 1-3, 2002, in San Diego, California, U.S.A., and

Stability of Graded Ta-TaN-Ta and Single Layer TaSiN DiffusionBarriers for Copper Interconnect Systems 847

Ch. Wenger, R. Hubner, Ch. Wenzel, M. Reinicke, M. Hecker,N. Mattern, K. Wetzig, J. Baumann, S. Schulz, J.W. Bartha,H.-J. Engelmann, and E. Zschech

Process Optimization and Electrical Barrier Performance of UltrathinPlasma Assisted Chemical Vapor Deposited TaSiN Films for CopperMetallization Applications 853

Wanxue Zeng, Eric Eisenbraun, and Alain Kaloyeros

Thermal Stability of Thin Ta and TaNx Films as Diffusion Barriersfor Copper Metallization 859

S. Zimmermann, J. Baumann, C. Kaufmann, and T. Gessner

Tantalum Deposition Process as Diffusion Barrier for Cu MetallizationUsing New SIS (Self-Ionized Sputter) Technology 865

M.G. Lee, Y. Okamura, S. Toyoda, and N. Gonohe

Author Index 871

Subject Index 877