Circuite Integrate

Embed Size (px)

Citation preview

INTRODUZIONE ALLA TECNOLOGIA DEI CIRCUITI INTEGRATI SU SILICIO

Guido Torelli

Gennaio 2006

Queste pagine costituiscono unintegrazione alle dispense di Tecnologie e materiali per lelettronica, di G. Torelli e S. Donati, a cura di M. Sozzi, rivolta agli allievi del corso di Tecnologie e Materiali per lElettronica del Corso di Laurea in Ingegneria Elettronica e delle Telecomunicazioni (Nuovo Ordinamento) della Facolt di Ingegneria dellUniversit degli Studi di Pavia.

Versione del mese di Dicembre 2003, riveduta nel mese di Dicembre 2004 e nel mese di Gennaio 2006 Guido Torelli, 2006

Parti della presente dispensa sono state riprodotte, con autorizzazione, dal volume Tecnologie dei circuiti integrati dedicati, di F. Maloberti e G. Torelli, Collana Informatica & Innovazione, Centro Volta e FrancoAngeli Editore.

1. Introduzione1.1 Materiali semiconduttoriSi definiscono semiconduttori i materiali nei quali lintervallo proibito fra banda di valenza e banda di conduzione poco elevato (cio compreso, tipicamente, fra 0,5 eV e 2 eV). Esistono diversi materiali semiconduttori, sia elementari (cio costituti da un solo elemento chimico, a meno di aggiunte intenzionali di impurit droganti), come il silicio (Si) e il germanio (Ge), sia composti (cio costituiti da pi di un elemento chimico), come larseniuro di gallio (GaAs). Il materiale attualmente di gran lunga pi utilizzato per i componenti a semiconduttore (sia per i circuiti integrati, sia per i dispositivi discreti) il silicio. Il germanio usato industrialmente per componenti discreti particolari (tipicamente transistori e diodi di potenza). Pure larseniuro di gallio utilizzato per la fabbricazione di circuiti integrati (anche se in misura marginale rispetto al silicio); esso consente vantaggi soprattutto per quel che riguarda la velocit di risposta dei circuiti, conseguita grazie alla pi elevata mobilit dei portatori liberi di carica. Alcuni materiali semiconduttori composti (binari, ternari o quaternari) trovano impiego industriale per la fabbricazione di dispositivi optoelettronici. Il successo industriale del silicio come materiale per la fabbricazione dei componenti elettronici dovuto fondamentalmente a ragioni di costo: da un lato, infatti, il silicio lelemento solido pi comune nella crosta terrestre; dallaltro, soprattutto, il silicio si presta molto bene alla lavorazione in tecnologia planare e consente, quindi, i vantaggi legati alla produzione in grossi volumi. I componenti elettronici a semiconduttore (in particolare, quelli in silicio) sono realizzati su un pezzetto di materiale semiconduttore costituito da un unico cristallo (semiconduttore monocristallino; un materiale formato dallaggregato di micrograni cristallini viene invece definito policristallino). Il transistore al germanio fu inventato nel 1948 (J. Bardeen, W. H. Brattain, W. Shockley), segnando cos linizio dellera dellelettronica a stato solido. stata per 1 la tecnologia planare del silicio, introdotta alla fine degli Anni Cinquanta (J. Kilby , R. Noyce, indipendentemente tra loro) e affermatasi immediatamente negli anni Sessanta, a consentire lenorme evoluzione dellelettronica, basata sullo sviluppo di circuiti integrati sempre pi complessi, i quali a loro volta hanno dato un contributo determinante allenorme sviluppo di tutte le discipline legate allelettronica, prime tra tutte linformatica e le telecomunicazioni. Oggi un circuito integrato monolitico (dal greco = singolo e = pietra) pu contenere anche centinaia di milioni di componenti elementari in una piastrina o tessera (in inglese chip o die, plurale dice) di silicio di area nellordine di 1 cm2. opportuno notare che un circuito integrato monolitico contiene (cio integra) tanto i componenti passivi e attivi (transistori, diodi, resistori, condensatori e, nei pi recenti circuiti per applicazioni a radiofrequenza, anche induttori) necessari per realizzare le funzioni desiderate quanto le relative interconnessioni. Laggettivo monolitico viene usato per distinguere i circuiti integrati veri e propri dai circuiti ibridi a strato spesso e a strato sottile (trattati in unaltra parte del corso), nei quali soltanto le interconnessioni e i componenti passivi, o alcuni dei componenti passivi, vengono realizzati su un substrato di

1

A J. Kilby stato assegnato il Premio Nobel nel 2000.

supporto con tecnologia collettiva. Comunemente, peraltro, il termine circuito integrato senza ulteriori specificazioni si riferisce al circuito integrato monolitico. In questa dispensa vedremo pertanto i punti salienti della tecnologia planare del silicio, che si presta alla fabbricazione tanto dei circuiti integrati quanto dei componenti discreti, ed attualmente la tecnologia di gran lunga pi utilizzata per la produzione dei circuiti a semiconduttore ( forse superfluo sottolineare che la quasi totalit dei componenti attivi impiegati attualmente negli apparati elettronici costituita da componenti a stato solido, cio da componenti formati da materiale solido semiconduttore: soltanto in applicazioni particolari vengono impiegati componenti attivi a vuoto).

1.2 Caratteristiche del silicioIl silicio fa parte del quarto gruppo della tavola periodica degli elementi, come il carbonio (che, peraltro, nella struttura cristallina di tipo diamante, un isolante), il germanio (che, come ricordato sopra, pure un semiconduttore), e lo stagno (che invece un conduttore). Esso ha numero atomico pari a 14, peso atomico pari a 28,09, raggio atomico pari a 1,18 (1 = 0,1 nm = 1010 m) e colore grigiometallico. Le sue propriet a 300 K sono le seguenti: peso specifico pari a 2,328; densit atomica pari a 51022 atomi/cm3 (la densit del biossido di silicio, SiO2, invece pari a 2,21022 molecole/cm3); permettivit relativa (r) pari a 11,7 (la permettivit relativa del biossido di silicio invece pari a 3,9); intervallo di energia proibito pari a 1,12 eV a 300 K; conducibilit termica pari a ~1,5 W/(Kcm); coefficiente di dilatazione termica pari a ~2,3106/K. Il punto di fusione pari a 1412 C. La resistivit elettrica , che per il silicio intrinseco piuttosto elevata (nellordine di 230 kcm a 300 K) in quanto la concentrazione dei portatori di carica 10 3 liberi assai modesta (concentrazione di elettroni liberi e di lacune: ~1,2510 cm ), varia fortemente con la concentrazione di drogante (curve di Irvin). Le concentrazioni di drogaggio industrialmente utilizzate vanno da circa 1014 atomi/cm3 a circa 1019 atomi/cm3 (a temperatura ambiente, questi valori di concentrazione di drogante corrispondono rispettivamente a circa 45 cm e 6103 cm per drogaggio di tipo n e a circa 150 cm e 9103 cm per drogaggio di tipo p). Il silicio cristallizza secondo la struttura del diamante, che di tipo cubico a facce centrate con compenetrazione delle celle elementari (lato della cella elementare: 5,63 ; distanza tra atomi primi vicini: 2,35 ). La struttura reticolare di un cristallo di silicio utilizzato in microelettronica deve essere assolutamente priva di difetti cristallografici, al fine di consentire il corretto funzionamento dei dispositivi elettronici. Per questo motivo, la lavorazione del silicio viene condotta in modo da minimizzare la presenza di ogni tipo di difetto reticolare (di punto, di linea, di superficie e di volume), a parte, naturalmente, la presenza delle impurit droganti desiderate (un parametro importante per le impurit droganti il raggio atomico, che deve essere il pi possibile vicino a quello del silicio; a questo proposito si definisce il fattore di misfit: si veda paragrafo 2.5). Ovviamente, la concentrazione di drogante deve essere controllata in modo estremamente rigoroso. Dato che, durante la lavorazione, la fetta di silicio sottoposta a trattamenti termici, occorre evitare che le escursioni termiche a cui essa sottoposta causino difetti reticolari: necessario pertanto minimizzare gli shock termici, per cui le variazioni termiche devono essere sufficientemente lente.

2

1.3 I circuiti integrati monoliticiI circuiti integrati, fin dalla loro invenzione (avvenuta, come detto, negli ultimi Anni Cinquanta), hanno completamente modificato la tecnologia, i prodotti, il modo di progettare, di realizzare e di manutenere un apparato elettronico. Con la tecnologia dei circuiti integrati, grosse parti di un sistema elettronico o, addirittura, un intero sistema elettronico possono essere realizzati su una singola piastrina di materiale semiconduttore. I vantaggi connessi all'utilizzo dei circuiti integrati riguardano aspetti sia economici, sia tecnici. Un singolo circuito integrato dedicato pu sostituire complessi sistemi logici e/o analogici realizzati con componenti discreti e/o con circuiti integrati standard pi semplici. Il raggruppare pi funzioni in un unico dispositivo riduce i tempi e i costi di produzione (in particolare di quelli legati all'assemblaggio dei componenti). Le prestazioni elettriche ottenibili da un circuito integrato dedicato (in particolare in termini di velocit) sono superiori a quelle che pu fornire un equivalente sistema realizzato con componenti standard montati su circuito stampato, in virt della riduzione degli elementi parassiti, nonch dellottimo matching ottenibile tra componenti realizzati in stretta vicinanza allinterno della stessa piastrina. Con l'impiego di un circuito integrato dedicato si consegue inoltre una riduzione di volume del sistema e, in genere, anche della potenza da questo dissipata (sempre in virt della riduzione degli elementi parassiti). Inoltre, dato che il numero di saldature drasticamente ridotto, si ottiene anche un aumento dell'affidabilit e della qualit del sistema complessivo. Infine, soprattutto se si impiegano circuiti integrati dedicati specificamente a unapplicazione (application specific integrated circuit, ASIC) si possono ottenere notevoli garanzie di protezione da duplicazioni. Una piastrina di silicio sulla quale ricavato un circuito integrato ha uno spessore tipico di qualche decimo di millimetro, ha forma rettangolare e dimensioni orizzontali che possono variare, a seconda della complessit del circuito, da pochissimi millimetri a pi di un centimetro per lato, e contiene un numero di componenti elementari che va da poche unit per i circuiti pi semplici a centinaia di milioni per i circuiti pi complessi. Come accennato in precedenza, il silicio per circuiti integrati in tecnologia planare viene lavorato in fette (wafer). Le fette di silicio impiegate attualmente hanno generalmente diametro di 5, 6 o 8 pollici (1 pollice = 2,54 cm); le pi usate sono oggigiorno quelle con diametro di 6 e 8 pollici (peraltro, in alcune linee di produzione, iniziato limpiego di fette con diametro di 12 pollici). La tendenza allaumento del diametro delle fette dovuta al fatto che fette con diametro maggiore contengono un numero pi elevato di circuiti integrati (una fetta da 8 pollici, ad esempio, contiene circa lottanta per cento di dispositivi di pari area in pi rispetto a una fetta da 6 pollici). Naturalmente, la lavorazione di fette di diametro maggiore richiede luso di attrezzature pi complesse e accurate, nonch maggiore attenzione in tutti i passi al fine di garantire una riuscita ottimale del processo di fabbricazione, per complessivamente il costo di produzione di un singolo circuito integrato risulta decisamente inferiore. Lo spessore delle fette generalmente nellordine di alcune centinaia di m (tipicamente tra 350 m e 1250 m); le fette di diametro superiore devono avere spessore maggiore per consentire adeguate caratteristiche di lavorabilit (in particolare, di robustezza meccanica). In produzione di volume, una fetta contiene numerose piastrine, uguali tra loro, ordinate in righe e colonne e divise tra loro da linee di separazione dette scribing lane o scribing line.

3

Le dimensioni minime delle figure che si ottengono in modo riproducibile allinterno dei circuiti integrati sono ormai nellordine del decimo di m. Tecnologie con risoluzione di 0,13 m e di 0,09 m sono in produzione in diverse Aziende manifatturiere, e transistori MOS con dimensioni inferiori a 0,05 m sono stati fabbricati con successo in laboratorio. La giacitura della fetta di silicio rispetto agli assi cristallografici viene identificata per mezzo di un appiattimento (flat) sul bordo (si veda paragrafo 2.2) o, pi modernamente, di una tacca (notch). La lavorazione in tecnologia planare viene condotta in lotti (batch) di fette. Alcuni passi di lavorazione vengono condotti contemporaneamente su tutte le fette di un lotto (o su molte di esse), mentre altri vengono condotti su singola fetta al fine di assicurare migliori caratteristiche di uniformit e accuratezza. La piastrina di silicio, giunta a fine lavorazione, viene in genere chiusa in un contenitore (package), il quale assicura le interconnessioni tra il circuito contenuto al suo interno e il mondo esterno attraverso i cosiddetti piedini (pin), e viene quindi sottoposta a collaudo, dopo di che essa pronta per la consegna all'utilizzatore. In alcuni casi, invece, la piastrina di silicio (dopo il collaudo) viene assemblata direttamente sul supporto finale di utilizzo, senza chiusura nel contenitore.

Fig. 1.1 Fotografia di una fetta a fine lavorazione.

2. La tecnologia planare del silicio2.1 IntroduzioneUn circuito integrato viene fabbricato su un substrato, costituito da una fetta di silicio monocristallino opportunamente drogato, con una sequenza di passi tecnologici elementari che, nel suo insieme, prende il nome di processo di fabbricazione delle fette (wafer fabrication process). Esiste una grande variet di processi di fabbricazione affermati industrialmente, ma tutti utilizzano la cosiddetta tecnologia planare. Questa tecnologia, come evidenziato 4

nel capitolo 1, stata alla base dell'impressionante evoluzione subita dall'elettronica nelle ultime quattro decadi, in quanto ha permesso lo sviluppo di circuiti integrati sempre pi complessi e di costo via via decrescente. Il livello di integrazione (cio il numero di componenti elementari contenuto in un singolo circuito integrato) continuamente cresciuto, in virt del continuo progredire delle tecnologie (in particolare delle tecniche fotolitografiche). Il progredire del livello di integrazione viene solitamente espresso tramite la legge di Moore, enunciata negli anni Sessanta2, secondo la quale il numero N dei componenti integrabili in una singola piastrina subisce nel tempo una crescita esponenziale: N(y + n) = N(y) (1+ k)n (2.1)

dove N(y) e N(y + n) indicano il numero di componenti integrabili in una piastrina rispettivamente nellanno y e nellanno y + n, e k indica lincremento annuo del livello di integrazione. La legge di Moore stata fino a oggi seguita abbastanza fedelmente, con un valore di k pari circa a 0,5 per le memorie (il che corrisponde a un raddoppio del livello di integrazione ogni 1820 mesi) e a un valore un po inferiore (0,35 fino ai primi anni Novanta) per i circuiti logici quali i microprocessori (Figura 2.1). La tendenza a una pi rapida evoluzione del livello di integrazione di questi ultimi negli anni pi recenti dovuta al fatto che in questo tipo di dispositivi vengono integrati banchi di memorie di notevole dimensione. In virt della continua evoluzione della densit di integrazione, si passati dalla small-scale integration (SSI, dove un circuito integrato conteneva meno di 100 componenti) alla medium-scale integration (MSI), alla large-scale integration (LSI), alla very-large-scale integration (VLSI), alla ultra-large-scale integration (ULSI, dove un circuito integrato contiene pi di 10 milioni di componenti).

2.2 Produzione delle fette di silicioIl materiale di partenza per il processo di fabbricazione dei circuiti integrati costituito da fette di silicio monocristallino, con orientazione cristallografica o a seconda del processo,3 drogato con un contenuto di impurit di tipo p (tipicamente boro) o di tipo n (tipicamente fosforo) assai ben controllato. Lintervallo di drogaggio per le fette di silicio usate dallindustria microelettronica nellordine di un atomo di impurit ogni 51035108 atomi di silicio (nellambito di questo intervallo, il valore del drogaggio viene scelto in funzione delle esigenze specifiche). Per i valori tipici dello spessore e del diametro delle fette, si rimanda a quanto detto nel paragrafo 1.3.

2

Gordon E. Moore: Cramming more components onto integrated circuits, Electronics, vol. 38, no. 8, pp. 114-117, April 19, 1965. 3 Come verr evidenziato nei paragrafi 4.2.1.1 e 4.3.1, attualmente luso di fette con orientazione cristallografica largamente dominante.

5

10

10

10

9

NUMERO DI COMPONENTI PER PIASTRINA

10 8

10

7

Memorie 106

10

5

Microprocessori

10

4

10

3

1970

1980 ANNO

1990

2000

Fig. 2.1 Evoluzione della densit di integrazione: numero di componenti integrati in una piastrina (asse verticale) in funzione dellanno (asse orizzontale). Per la produzione delle fette di silicio occorre innanzitutto disporre di silicio purissimo (detto di grado elettronico), il cui contenuto di elementi droganti inferiore a una parte per miliardo (questo consente di ottenere, in seguito, fette con drogaggio allinterno dellintervallo precedentemente indicato, mediante linserimento controllato delle impurit desiderate). Anche la presenza di altri contaminanti deve essere ridotta al minimo. Per la produzione di silicio a elevata purezza si parte ad esempio dalla quarzite, che una forma relativamente pura di silice (SiO2). Un esempio di processo per la produzione di silicio per uso elettronico prevede la seguente serie di passi. a) Reazione della silice con carbone, ottenuta in fornace ad alta temperatura, sino a ~1800 C, e formazione di silicio di grado metallurgico (purezza ~98%): SiO2 + C Si + CO2 e SiC + SiO2 Si + SiO + CO (2.3) (2.2)

b) Reazione del silicio metallurgico (polverizzato) con acido cloridrico a ~300 C (con catalizzatore) e formazione di triclorosilano (SiHCl3) in fase gassosa: Si + 3HCl SiHCl3 + H2 (2.4)

c) Purificazione del triclorosilano (che liquido a temperatura ambiente, dato che il suo punto di ebollizione 32 C) fino a meno di una parte di impurit drogante per miliardo, mediante distillazione frazionata.

6

d) Riduzione del triclorosilano purificato in atmosfera di idrogeno e produzione di silicio policristallino purissimo (silicio di grado elettronico): SiHCl3 + H2 Si + 3HCI (2.5)

Il silicio cos raffinato viene raccolto in barre, che costituiscono la carica per i forni in cui vengono ottenuti i lingotti di silicio monocristallino, di forma cilindrica e diametro opportuno. Le tecniche pi usate per questultima operazione sono due: la tecnica Czochralski (CZ) e la tecnica floating-zone (FZ). In entrambe le tecniche vengono usati forni speciali che favoriscono laccrescimento controllato di un seme di silicio monocristallino di partenza. Durante laccrescimento, che avviene in atmosfera inerte (in genere di argon o elio) o nel vuoto, viene introdotta nel cristallo la quantit richiesta dellimpurit drogante desiderata. Con la tecnica CZ, che di gran lunga la pi utilizzata per le fette impiegate dallindustria microelettronica, il cristallo viene accresciuto a partire da una massa fusa di silicio raffinato e opportunamente drogato. La massa fusa contenuta in un crogiuolo in genere di quarzo (silice fusa) sostenuto da un supporto (detto suscettore) di grafite purissima riscaldato per induzione a radiofrequenza o mediante resistenza elettrica. Il suscettore trasmette il calore al crogiuolo e, quindi, al silicio (che fonde a 1412 C) per conduzione termica. Con la tecnica FZ il monocristallo viene invece ottenuto per fusione localizzata della barra di silicio policristallino raffinato, a partire da unestremit che posta a diretto contatto col seme. Il drogaggio si ottiene drogando la barra di policristallo o la zona fusa iniziale, oppure inserendo il drogante nellatmosfera della camera in cui viene condotta loperazione. Il lingotto di silicio monocristallino viene privato delle sue parti terminali ed quindi portato esattamente al diametro voluto, in genere mediante utensili rotanti. Sulla superficie laterale del lingotto viene poi ricavato, per tutta la sua lunghezza, una sorta di appiattimento che dar luogo, sulle fette, al bordo piatto (flat) che, durante il processo di fabbricazione, permetter agevolmente il corretto posizionamento delle fette stesse rispetto alle direzioni degli assi cristallografici (in realt previsto anche un secondo flat, posizionato in modo da consentire anche lidentificazione del 4 tipo di drogaggio e di orientazione cristallografica della fetta ). Come precedentemente accennato, per le fette di diametro pi elevato, in alternativa ai flat attualmente viene spesso praticata una tacca (notch); a questo scopo viene praticata una scanalatura sul lingotto prima del taglio con cui vengono ricavate le fette. Dal lingotto vengono quindi ottenute, con una sega circolare o anulare a lama diamantata, fette dello spessore desiderato, che vengono poi lappate (cio, sostanzialmente, levigate) con polveri abrasive, arrotondate ai bordi e, infine, lucidate (ad esempio con soluzioni molto diluite di silice colloidale).

2.3 Operazioni di base in tecnologia planareI singoli processi tecnologici elementari utilizzati per la fabbricazione delle fette in tecnologia planare si possono distinguere in due categorie. La prima categoria quella dei processi il cui scopo creare sulla superficie della fetta un nuovo strato di4

A questo proposito esiste uno standard SEMI (Semiconductor Equipment and Materials International).

7

materiale, o alterare le caratteristiche dello strato superficiale gi esistente. La seconda categoria quella dei processi il cui scopo rendere selettiva (cio efficace, alla fine del processo di fabbricazione, solo in alcune regioni della superficie della fetta) l'azione dei processi della precedente categoria. Alla prima categoria appartengono i processi di ossidazione termica, diffusione termica, impiantazione ionica, deposizione di strati sottili e crescita epitassiale. Alla seconda appartengono i processi litografici (mascheratura e attacco). Esistono poi altre operazioni che vengono condotte durante la lavorazione delle fette al fine di migliorare le caratteristiche fisiche ed elettriche dei dispositivi e, quindi, le loro prestazioni; rientrano in questa categoria i processi di annealing e di gettering. Durante la lavorazione sono necessarie numerose operazioni di lavaggio e di risciacquo (la pulizia totale e lestrema purezza dei materiali sono aspetti di importanza vitale nellindustria microelettronica), operazioni di preparazione alle successive fasi, nonch numerosi controlli, tesi a verificare la correttezza delle operazioni eseguite precedentemente. Un processo di fabbricazione delle fette in tecnologia planare costituito da una successione di passi elementari appartenenti alle categorie sopra indicate, che vengono eseguiti in modo che, alla fine della lavorazione, al di sopra del substrato si trovino, sovrapposti tra loro, una serie di strati di materiali semiconduttori, isolanti e conduttori, ciascuno con configurazioni geometriche (pattern) predeterminate. L'insieme di questi strati d origine ai componenti attivi, ai componenti passivi e alle interconnessioni che, nel loro complesso, costituiscono i circuiti integrati. Il nome di tecnologia planare deriva dal fatto che, durante tutta la lavorazione di una fetta, la superficie di questa rimane sostanzialmente planare: lalterazione delle caratteristiche elettriche delle regioni superficiali e la crescita e la deposizione di strati di materiali sulla superficie della fetta interessano complessivamente spessori molto inferiori sia allo spessore della fetta, sia, a maggior ragione, alle dimensioni orizzontali di questa. Un vantaggio fondamentale, dal punto di vista industriale, dell'uso della tecnologia planare di integrazione consiste nel fatto che ciascuna operazione elementare del processo di fabbricazione viene eseguita contemporaneamente su tutta una fetta (anzi, molte operazioni vengono eseguite su lotti composti anche di numerose decine di fette). Nel tempo che sarebbe necessario per produrre un solo circuito integrato viene pertanto prodotto un elevato numero di circuiti (una fetta da 8 pollici, ad esempio, contiene circa 300 circuiti integrati di area di poco meno di 1 cm2; in tal caso, una lavorazione compiuta su 50 fette viene eseguita simultaneamente su circa 15.000 circuiti integrati). Ci consente una forte riduzione del costo di fabbricazione per unit di prodotto (in termini sia di attrezzature, sia di manodopera) e, quindi, rende economicamente vantaggiosi la produzione e lutilizzo dei circuiti integrati, anche se il costo delle attrezzature (e, pi in generale, di una linea di produzione) risulta estremamente elevato. Come accennato in precedenza, a fine lavorazione su una fetta vengono ottenuti numerose piastrine tra loro identiche, disposte ordinatamente in righe e colonne, che verranno separate tra loro e utilizzate individualmente. Vedremo ora brevemente gli aspetti fondamentali dei singoli processi tecnologici di cui pu essere costituito un processo di fabbricazione in tecnologia planare, iniziando da quelli appartenenti alla prima categoria.

2.4 Ossidazione termica

8

Lossidazione termica consiste nellaccrescere sulla superficie della fetta uno strato di biossido di silicio (SiO2), che d'ora in poi chiameremo pi semplicemente ossido di silicio. Loperazione viene condotta facendo reagire il silicio superficiale della fetta con un agente ossidante e apportando energia sotto forma termica (da cui il nome dato a questo processo). Lossido di silicio un ottimo dielettrico. Inoltre, la velocit di diffusione della maggior parte degli agenti contaminanti (inclusi quelli droganti) attraverso lossido molto ridotta (essa cio molto inferiore alla velocit di diffusione degli stessi agenti nel silicio). da osservare infine che loperazione di ossidazione termica permette di saturare i legami degli atomi di silicio presenti sulla superficie della fetta (questi atomi, contrariamente a quelli presenti allinterno della fetta, non possono saturare tutti i loro legami con gli atomi di silicio adiacenti): ci consente di ottenere uneccellente interfaccia tra silicio e ossido di silicio (naturalmente, se lossidazione viene condotta in modo adeguatamente accurato). In virt delle sue eccellenti caratteristiche, l'ossido di silicio accresciuto termicamente viene utilizzato nei circuiti integrati per le seguenti importantissime funzioni: come isolante tra il silicio e strati conduttivi sovrastanti, come dielettrico di gate per transistori MOS, come maschera per la diffusione selettiva di impurit droganti e come strato protettivo della superficie del monocristallo di silicio. La facilit di ossidazione del silicio e le ottime caratteristiche dellossido di silicio cos ottenuto sono state alla base dello sviluppo della tecnologia planare. Vale la pena osservare che (paragrafo 2.7.2) si pu ottenere ossido di silicio anche mediante deposizione: in questo caso, il silicio necessario alla formazione dellossido non quello della fetta. Lossido ottenuto per deposizione viene impiegato come isolante e come strato di protezione finale (passivazione) del circuito integrato. L'ossidazione termica (che spesso nel gergo viene definita, pi semplicemente, ossidazione) viene condotta in un reattore, che sostanzialmente un forno che permette il flusso dei gas reagenti (e, ove presenti, di quelli portanti) da un'estremit all'altra (Figura 2.2). Un reattore una camera in cui vengono condotte le reazioni (in questo caso chimiche) necessarie per la lavorazione in corso; i reattori per ossidazione sono sostanzialmente dei forni. Tanto nei reattori quanto nei forni utilizzati per il processo di fabbricazione delle fette necessario far fluire opportuni gas durante lesecuzione della lavorazione; limmissione e levacuazione di tali gas vanno opportunamente regolate sia per la buona riuscita della lavorazione, sia per la sicurezza degli operatori. Un reattore per ossidazione termica ha le pareti in quarzo purissimo, per ridurre al minimo le contaminazioni della fetta, e viene riscaldato ad alta temperatura mediante resistenze a serpentina. Industrialmente, l'operazione eseguita contemporaneamente su un lotto di fette, che vengono poste su apposite navicelle (pure queste in quarzo purissimo).FETTE

IMMISSIONE GA S DI REA ZIONE

EV A CUA ZIONE GA S ESA USTI

NA V ICELLE

Fig. 2.2 Vista schematica di un reattore impiegato in tecnologia planare. Nello schema rappresentato, il carico e lo scarico delle fette vengono effettuati dal lato destro.

9

Come detto sopra, l'ossigeno necessario per la formazione dell'ossido fornito dall'atmosfera di reazione, mentre il silicio fornito dalla superficie della fetta, Questultima, pertanto, viene consumata nelle regioni ove si forma l'ossido, cos che l'interfaccia tra silicio e ossido si sposta verso l'interno del silicio. La densit molecolare dell'ossido inferiore rispetto alla densit atomica del silicio nel cristallo (si veda paragrafo 1.2): la superficie superiore dell'ossido pertanto non risulta complanare con la superficie originaria del silicio, ma si trova pi in alto rispetto a questa. Il gas ossidante che viene fatto fluire nel reattore pu essere ossigeno (ossidazione a secco, dry oxidation) o vapore acqueo (ossidazione a umido, o a vapore, steam oxidation). Le reazioni utilizzate per i due tipi di ossidazione sono le seguenti: Si + O2 SiO2 Si + 2H2O SiO2 + 2H2 (ossidazione dry) (ossidazione steam) (2.6) (2.7)

A parit di condizioni (in particolare, a parit di temperatura) la velocit di crescita dell'ossido molto pi elevata nel caso di ossidazione steam che in quello di ossidazione dry. Industrialmente, per ciascuna operazione di ossidazione necessaria durante un processo di fabbricazione delle fette viene scelto il tipo pi adatto a seconda delle esigenze. Lo spessore dello strato di ossido accresciuto in un determinato intervallo di tempo fortemente influenzato dalla temperatura, e aumenta allaumentare di questa (si veda paragrafo 2.4.1). Per ottenere un prefissato spessore dello strato di ossido con sufficiente precisione, quindi, la temperatura a cui viene condotta l'operazione viene controllata con estrema cura (tipicamente entro 0,5 C), in genere con sistemi controllati da microcomputer. A seconda dei casi, la temperatura di ossidazione generalmente compresa tra 700 e 1200 C, e lo spessore di ossido accresciuto varia tra poche centinaia di e 12 m. Ad esempio, valori nominali tipici di spessore di ossido di silicio ottenuto mediante ossidazione termica di unora a 1100 C (per silicio intrinseco con orientazione ) sono: 0,12 m per ossidazione dry e 0,69 m per ossidazione steam. Per la buona riuscita dellossidazione, le fette devono essere estremamente pulite, per cui vengono accuratamente lavate con opportune soluzioni. Per ottenere unadeguata pulizia dellambiente nelle zone di inserimento ed estrazione delle fette, il reattore viene spesso posto sotto una cappa aspirante a flusso laminare. 2.4.1 Legge di Arrhenius Lossidazione viene definite termica in quanto deve essere eseguita a temperatura sufficientemente elevata al fine di ottenere una velocit adeguata alle esigenze industriali. Come per moltissime altre reazioni di tipo fisico e chimico, infatti, la velocit dellossidazione dipende in modo sostanzialmente esponenziale dalla temperatura. Questa dipendenza pu essere approssimata mediante la legge di Arrhenius. Se C il coefficiente che indica la velocit a cui avviene un determinato fenomeno, la legge di Arrhenius la seguente5:5

La dipendenza in realt pi complessa rispetto a quella espressa dalla relazione (2.8), e sono state proposte leggi che la descrivono in modo pi accurato. La legge di Arrhenius rappresenta comunque una relazione molto importante dal punto di vista concettuale, ed molto utilizzata in

10

C = C0exp[EA/(kT)]

(2.8)

dove k la costante di Boltzmann (1,3811023 J/K = 8,617105 eV/K), T la temperatura assoluta (misurata in gradi Kelvin), C0 un coefficiente indipendente (in prima approssimazione) dalla temperatura ed EA lenergia di attivazione del fenomeno preso in considerazione. Nel caso dellossidazione termica (come in quello della diffusione termica si veda paragrafo 2.5), la dipendenza di tipo esponenziale fondamentale. Da un lato, infatti, a livello industriale necessario che la velocit delloperazione (e, quindi, della reazione o delle reazioni coinvolte) sia sufficientemente elevata al fine di ottenere una produttivit adeguata. Dallaltro, indispensabile che, alla normale temperatura interna di lavoro del dispositivo (pari alla temperatura ambientale maggiorata del salto termico dovuto alla potenza termica generata durante il funzionamento del circuito), le caratteristiche fisiche dello stesso restino sostanzialmente inalterate: in particolare, quindi, a questa temperatura lossidazione termica dovr avere una velocit cos bassa da risultare totalmente trascurabile. possibile soddisfare ambedue queste esigenze soltanto se il coefficiente C relativo al fenomeno di interesse ha valori molto differenti (di ordini di grandezza) alla temperatura di esecuzione delloperazione stessa e alla temperatura interna del dispositivo durante il suo funzionamento. Dato che per motivi sia fisici (integrit dei materiali di cui composto il circuito integrato), sia industriali (costo, praticit, produttivit, etc.) le temperature durante la lavorazione non possono essere eccessivamente alte (si ricordi ad esempio che il silicio fonde a 1412 C), la dipendenza esponenziale espressa dalla legge di Arrhenius rende possibile conciliare le due esigenze contrapposte di cui sopra. opportuno sottolineare che la dipendenza espressa dalla legge di Arrhenius vale anche per altri tipi di fenomeni coinvolti nella lavorazione e nellutilizzo dei circuiti integrati oltre che, in generale, per numerosissimi fenomeni chimico-fisici. Vale inoltre la pena di evidenziare che, durante il funzionamento, la temperatura interna dei dispositivi elettronici non deve superare un valore ritenuto di sicurezza proprio perch, a temperature superiori a questa, si possono attivare fenomeni in grado di alterare la struttura fisica e, quindi, la funzionalit dei dispositivi stessi (per i dispositivi al silicio, ad esempio, un valore tipico da non superare 120125 C). Per dispositivi che devono operare raggiungendo temperature pi elevate sono previste opportune qualificazioni e selezioni e, spesso, lavorazioni e contenitori appositi. Si ricorda, infine, che sulla dipendenza espressa dalla legge di Arrhenius sono basate le prove di vita accelerate in temperatura per dispostivi e apparati.

2.5 Diffusione termicaLa diffusione termica consiste nell'introduzione di una quantit controllata di impurit drogante della specie desiderata entro il reticolo cristallino della regione superficiale della fetta, e nella ridistribuzione di queste impurit verso linterno della fetta, al finequanto consente in modo assai semplice almeno una stima approssimata, che in molti casi pi che adeguata.

11

di ottenere nella regione superficiale della fetta stessa una variazione di drogaggio (come nel caso dellossidazione, lappellativo termica deriva dal fatto che industrialmente loperazione viene eseguita a temperatura elevata). Le impurit impiegate per il drogaggio del silicio sono atomi trivalenti o pentavalenti. Loperazione di diffusione termica condotta in modo che le impurit droganti vadano a posizionarsi al posto di atomi di silicio nella struttura reticolare del cristallo (posizione sostituzionale). In tal modo, gli atomi droganti si legano chimicamente con gli atomi di silicio adiacenti e permettono la liberazione o di un elettrone di valenza (impurit pentavalenti, cio donatori: drogaggio di tipo n) o di una lacuna (atomi trivalenti, cio accettori: drogaggio di tipo p). Il raggio atomico delle impurit usate per il drogaggio deve essere il pi vicino possibile a quello del silicio, al fine di non perturbare eccessivamente la struttura reticolare del cristallo. A questo proposito viene introdotto il fattore di misfit (cio di disadattamento), che pari allo scarto percentuale tra il raggio atomico dellimpurit e quello del silicio. Industrialmente, si usano boro (B) per il drogaggio di tipo p e arsenico (As), fosforo (P) o antimonio (Sb), a seconda dei casi, per il drogaggio di tipo n. La diffusione delle impurit droganti nel silicio segue le leggi della diffusione. La prima legge di Fick della diffusione, nel caso monodimensionale, espressa come:J = D N x

(2.9)

2 ove J indica il flusso di particelle di impurit (misurato in atomi/(cm s)), N la 3 concentrazione delle impurit (misurata in atomi/cm ) e D il coefficiente di diffusione, o diffusivit (ed misurato in cm2/s). Nel caso pi generale (cio nel caso non monodimensionale), la derivata N/x sostituita dal gradiente di N, e la legge diventa:

J = Dgrad(N)

(2.10)

Nel caso monodimensionale, mediante la prima legge di Fick (2.9) e la legge della continuit per il flusso delle particelleJ N = x t

(2.11)

si ottiene la seconda legge di Fick della diffusione:N 2N =D t x 2

(2.12)

Per ricavare la relazione (2.12) si assunto per semplicit che la diffusivit D sia indipendente dalla posizione e, quindi, anche dal valore della concentrazione N, anche se questo in realt non vero. Nel caso generale, la legge della continuit la seguente:

12

N = divJ t e la seconda legge di Fick espressa comeN = Ddiv(gradN) t

(2.13)

(2.14)

che, nel caso generale, esprime il fatto che il tasso di incremento nel tempo della concentrazione delle particelle uguale allopposto della divergenza del flusso delle particelle stesse (anche per ricavare la relazione (2.14) si assunto, per semplicit, che la diffusivit D sia indipendente dalla posizione). Per la realizzazione di un dispositivo elettronico, un'operazione di diffusione termica (che spesso nel gergo viene definita, pi semplicemente, diffusione) deve naturalmente essere selettiva, al fine di determinare una variazione di drogaggio solo nelle regioni ove ci desiderato (questo ovviamente rende non veritiera lipotesi di equazioni monodimensionali per descrivere la diffusione). Tale selettivit viene ottenuta mediante un'operazione di mascheratura eseguita prima della diffusione stessa, grazie alla quale l'introduzione delle impurit droganti viene effettuata solamente nelle zone in cui ci richiesto. Con la diffusione vengono formate le giunzioni p-n in prossimit della superficie della fetta, introducendo in questa impurit droganti di tipo opposto a quello delle impurit contenute nella regione superficiale che si desidera drogare. Naturalmente, per formare le giunzioni, la quantit di drogante introdotto dovr essere tale da sovracompensare, a fine operazione, la concentrazione di drogante originariamente presente nella regione da drogare, in modo che in tali regioni il tipo di drogaggio risulti opposto a quello originario. Si ricorda, a questo proposito, che, dal punto di vista dei portatori di carica liberi, la concentrazione equivalente di drogaggio Neff pari alla differenza tra la concentrazione delle impurit di tipo n e di quelle di tipo p: Neff = ND NA (2.15)

Giova puntualizzare che, a causa delle tolleranze intrinseche in ogni processo di fabbricazione industriale, il valore nominale della concentrazione desiderata Neff deve necessariamente essere molto superiore a quello della concentrazione originaria che deve essere sovracompensata. Secondo la tecnica tradizionale, la diffusione viene eseguita in forni del tutto analoghi ai reattori utilizzati per l'ossidazione termica, con un procedimento che consta di due successive fasi ad alta temperatura. - Nella prima fase (detta predeposizione) viene introdotta nella regione superficiale della fetta, con penetrazione minima, una quantit prefissata dellimpurit drogante prescelta. Lelemento drogante contenuto nellatmosfera del forno; si opera in modo che la concentrazione superficiale del drogante nella fetta raggiunga la solubilit solida (sorgente di drogante infinita). La sorgente di drogante, esterna alla fetta, pu essere solida, liquida o, pi comunemente, gassosa. In questultimo caso sono molto usati, come sorgente drogante, gli idruri dellelemento desiderato: fosfina (PH3) per il fosforo, arsina (AsH3) per larsenico, diborano (B2H6) per il boro (lidruro dellantimonio, SbH3, invece instabile, per cui generalmente non viene usato).

13

- Nella seconda fase (detta drive-in) le impurit prima introdotte (e, quindi, gi presenti nella fetta) vengono diffuse verso linterno della fetta di silicio, pur restando in una regione assai prossima alla superficie, in modo che la concentrazione del drogante in questa regione superficiale venga ridistribuita e portata al valore desiderato (lambiente in cui si trova la fetta non fornisce a questa ulteriori impurit droganti). Entrambi i passi con cui viene condotta la diffusione seguono le leggi di Fick. Le condizioni iniziali e quelle al contorno sono per differenti nei due casi, per cui risulteranno differenti le soluzioni. Pi esattamente, le soluzioni ideali nel caso di diffusione monodimensionale sono le seguenti. - Predeposizione: x N(x,t p ) = N0erfc 2 Dt p

(2.16)

dove x e tp rappresentano, rispettivamente, la profondit (distanza dalla superficie allinterno della fetta) e il tempo di predeposizione, N0 la solubilit solida del drogante nel silicio alla temperatura a cui viene eseguita la predeposizione, e erfc indica la funzione errore complementare. Questa funzione definita comeercf(z) = 1 erf(z) = 1 2 e0 z 2

d

(2.17)

ove erf la funzione errore. La quantit totale di drogante per unit di area inserita nella fetta risulta pari a: 0

Q0 (t p ) = N(x,t p )dx = N0 - Drive-in:N(x,td ) =

2 Dt p

(2.18)

2 x exp 2 Dt d Dt d Q0

(2.19)

ove td indica il tempo di drive-in e Q0 la quantit di drogante per unit di superficie introdotta nella fetta nella fase di predeposizione, espressa dalla relazione (2.18). La grandezza 2 Dt viene definita lunghezza di diffusione. Questo parametro viene assunto come indicatore di quanto profonda la diffusione. Sostanzialmente, allaumentare del suo valore in fase di predeposizione aumenta la quantit di drogante inserita nella fetta, mentre allaumentare del suo valore in fase di drive-in aumenta la regione della fetta interessata alla ridistribuzione del drogante. In

14

particolare, a parit di condizioni (in particolare, a parit di temperatura), antimonio e arsenico hanno valore di D pi basso rispetto a boro e fosforo.

In Figura 2.3 mostrato un tipico andamento del profilo di drogaggio (ossia dellandamento della concentrazione in funzione della distanza dalla superficie della fetta) ottenuto mediante un processo di drive-in per differenti durate dell'operazione (la temperatura supposta costante). Si pu osservare agevolmente la ridistribuzione del drogante inizialmente presente nella regione superficiale della fetta: allaumentare del tempo di drive-in, la concentrazione di drogante decresce alla superficie della fetta, mentre aumenta in profondit (allaumentare di td, infatti, aumenta la lunghezza di diffusione). Se la diffusione relativa a un elemento drogante (A) di polarit opposta a quella del drogante presente nel substrato (D), la distanza della giunzione (cio della coordinata alla quale si ha NA = ND) dalla superficie del semiconduttore, detta profondit di giunzione, aumenta allaumentare del tempo. opportuno sottolineare che, a causa dei motivi fisici grazie ai quali si verifica la diffusione termica (il parametro che guida la diffusione il gradiente della concentrazione), il picco del profilo di drogaggio ottenuto con questa tecnica si ha sempre in corrispondenza della superficie della fetta, cio della regione attraverso la quale si ha lintroduzione delle impurit nella fetta (in fase di predeposizione) o nella quale si ha inizialmente il massimo di concentrazione (in fase di drive-in). La diffusivit D mostra una dipendenza dalla temperatura che esprimibile in modo approssimato mediante la legge di Arrhenius. Per questo motivo, le operazioni di diffusione termica vengono eseguite a temperatura elevata (tipicamente tra 900 C e 1200 C) ed estremamente controllata, in modo da potere controllare esattamente il valore della lunghezza di diffusione e, quindi, dei profili di drogaggio ottenuto. Valori nominali della lunghezza di diffusione con diffusione termica di tre ore a 1100 C per il silicio intrinseco sono circa 0,83 m per il boro e per il fosforo, circa 0,37 m per larsenico e circa 0,33 m per lantimonio.

15

Fig. 2.3 Esempio schematico di profilo di drogaggio ottenuto per diffusione termica da sorgente superficiale (drive-in) per tre tempi diversi (t1 < t2 < t3). ND rappresenta la concentrazione del drogante nel substrato. NA rappresenta la concentrazione del drogante che viene diffuso nel substrato. da tenere presente che se la fetta viene sottoposta a ulteriori trattamenti termici dopo un'operazione di diffusione, le impurit droganti precedentemente introdotte e diffuse continueranno a diffondere, per cui i profili di drogaggio verranno alterati. Naturalmente di questo fatto viene tenuto conto in sede di progettazione e ingegnerizzazione di un processo di fabbricazione delle fette, in modo che i profili di drogaggio presenti nella fetta alla fine della lavorazione risultino quelli desiderati. Alle normali temperature di funzionamento dei circuiti integrati, invece, la diffusione degli elementi droganti utilizzati cos lenta da poter essere trascurata ( ancora fondamentale, a questo proposito, la dipendenza esponenziale della diffusivit dalla temperatura, come espressa dalla legge di Arrhenius). opportuno sottolineare che, quando si vuole ottenere una diffusione selettiva, durante la fase di diffusione, in particolare durante quella di drive-in (e ovviamente anche durante eventuali trattamenti termici successivi), la diffusione delle impurit droganti avviene non solo verticalmente (cio verso l'interno della fetta di silicio), ma anche lateralmente, cio lungo la direzione parallela alla superficie della fetta e, quindi, al di sotto dello schermo impiegato per ottenere la selettivit. Alla fine del processo di fabbricazione, pertanto, la regione superficiale in cui si ottiene l'alterazione del drogaggio in realt deborda rispetto a quella in cui era avvenuta la predeposizione (fenomeno noto col nome di diffusione laterale: Figura 2.4).

16

D

G

S

a)

G D S

n+

n+

p (substrato o w ell)

b)

Fig. 2.4 Diffusione laterale nel caso delle regioni di source e di drain di un transistore MOS (vista schematica; le dimensioni non sono in scala): a) topologia superficiale; b) sezione verticale (S = source; G = gate; D = drain).

2.6 Impiantazione ionicaL'impiantazione ionica consiste nel bombardare la superficie della fetta in lavorazione mediante le impurit droganti della specie desiderata (generalmente boro, arsenico, fosforo o antimonio, ma possono venire impiantati anche numerosi altri elementi). Questa operazione, analogamente alla predeposizione (paragrafo 2.5), viene utilizzata per introdurre nella regione superficiale della fetta di silicio una quantit controllata di impurit droganti. Limpiantazione viene condotta con macchine dette impiantatori ionici (ion implanters, Figura 2.5). Gli atomi da impiantare vengono dapprima ionizzati (tipicamente mediante scarica elettrica di un composto gassoso) ed estratti dalla camera di ionizzazione in modo da formare un fascio. Un magnete analizzatore (spettrometro di massa), il cui principio di funzionamento basato sullangolo di deflessione subito dalla traiettoria degli ioni immersi in un campo magnetico costante di direzione ortogonale alla loro traiettoria, consente di separare gli ioni desiderati da quelli spuri prodotti durante la scarica, eliminando questi ultimi. Il fascio viene quindi ben collimato e, mediante campi elettrici, viene accelerato a energie che possono andare da meno di 2 keV fino ad alcuni MeV, e viene infine inviato sulla superficie della fetta in lavorazione passando attraverso un sistema di scansione x-y che assicura adeguata uniformit di impiantazione su tutta la superficie della fetta. La profondit, allinterno della fetta, alla quale si ferma lo ione bombardato dipende ovviamente dallenergia alla quale esso stato accelerato.

17

COLLIMAZIONE E ACCELERAZIONE MAGNETE ANALIZZATORE

SCANSIONE Y X

INTEGRATORE DI CARICA

+FETTA

ELETTRODO ESTRATTORE

CAMERA DI IONIZZAZIONE

Fig. 2.5 Schema di principio di un impiantatore ionico. L'operazione di impiantazione ionica viene condotta in camere a vuoto (ad esempio, la pressione pu essere nellordine di 106 torr). Le fette sono connesse a una massa elettrica, necessaria per chiudere il circuito e ripristinare la neutralit elettrica della fetta stessa (si tenga presente che, una volta inseriti nella fetta, gli ioni devono riacquistare la neutralit), e a una massa termica, necessaria per evacuare il calore prodotto dalla cessione di energia degli ioni incidenti. Lintensit del fascio ionico viene regolata con ottima precisione entro un ampio intervallo (valori tipici: da qualche A a qualche mA). L' impiantazione pu essere condotta su tutta la superficie della fetta o pu essere selettiva. In questultimo caso, come maschera per garantire la selettivit possono essere utilizzati uno strato di dielettrico (ossido o nitruro di silicio) e, preferibilmente, lo stesso fotoresist di mascheratura, soprattutto in caso di impiantazione con ioni ad alta energia (per questo scopo possono anche essere impiegati strati metallici). Ovviamente, a causa del bombardamento, la regione superficiale del silicio semiconduttore subisce gravi danneggiamenti, che possono arrivare fino all'amorfizzazione di tale regione, per cui si rende necessaria una successiva operazione di recupero di tali danni. Questa consiste tipicamente in una ricottura (annealing) a temperatura moderata (che sostanzialmente d agli atomi di silicio superficiali una mobilit sufficiente a riportarli nelle posizioni corrette del reticolo) seguita da raffreddamento lento. L'operazione di annealing comunque necessaria anche per consentire l'attivazione elettrica delle impurit impiantate, che appunto in questa fase vanno a occupare le corrette posizioni sostituzionali nel reticolo cristallino, come richiesto per ottenere un corretto drogaggio del silicio. Parametri importanti delloperazione di impiantazione ionica sono i seguenti. - Tipo di ione impiantato. Viene selezionato mediante il magnete analizzatore. - Dose di impianto. la quantit di atomi droganti introdotta in una superficie di area unitaria della fetta. Viene regolata mediante lintensit del fascio di ioni dellimpiantatore e la durata temporale dellimpiantazione.

18

- Energia di impianto. lenergia alla quale vengono accelerati gli ioni. Essa determina la profondit media a cui vengono impiantate le impurit droganti, e viene regolata per mezzo degli elettrodi acceleratori. Rispetto alla tecnica di diffusione termica, l'impiantazione ionica presenta alcuni vantaggi fondamentali, di seguito elencati. - unoperazione eseguita a bassa temperatura, con i benefici che questo comporta per la fetta di silicio. Ci, inoltre, permette notevole flessibilit nella scelta del materiale da usare come schermo per ottenere un drogaggio selettivo. La successiva fase di annealing deve ovviamente essere condotta in modo da non vanificare questo vantaggio. - Permette notevole flessibilit nella scelta del tipo di impurit con cui drogare il silicio. - Permette di ottenere profili di drogaggio con il massimo di concentrazione situato non necessariamente in superficie, ma anche a una distanza da questa regolabile mediante l'energia di impianto. - Assicura un pi vasto campo di variabilit della quantit di drogante per unit di superficie introdotta nella fetta di silicio (che in genere compresa tra 1010 e 1016 atomi/cm2), mediante opportuna regolazione della dose di impianto. - Permette un migliore controllo della quantit di drogante introdotto nella fetta di silicio. A tal fine, gli impiantatori ionici sono corredati di un integratore di carica, che misura la quantit degli ioni che incidono sulla fetta stessa. - Nel caso di impiantazione selettiva si soffre meno dellinconveniente della diffusione laterale delle impurit droganti, in virt del percorso sostanzialmente rettilineo degli ioni di impurit incidenti. In realt, per, a causa dellimpatto delle impurit impiantate contro gli ioni di silicio del reticolo cristallino, il drogaggio deborder comunque al di sotto dello schermo usato per ottenere la selettivit dellimpiantazione. I trattamenti termici successivi daranno un ulteriore contributo di drogaggio laterale. Lo svantaggio principale dellimpiantazione ionica risiede nellelevato costo dellattrezzatura. Inoltre, elevate dosi di impianto richiedono tempi di impiantazione piuttosto lunghi, il che incrementa i costi di esecuzione delloperazione. I vantaggi dellimpiantazione ionica (in particolare, la flessibilit, il pi facile controllo di processo e la minimizzazione del drogaggio laterale) sono comunque tali che questa tecnica utilizzata assai largamente nei moderni processi di fabbricazione dei circuiti integrati. da rilevare, infine, che in molti processi moderni l'impiantazione ionica viene utilizzata anche per eseguire la predeposizione del drogante, nel qual caso essa seguita da una fase di drive-in.

2.7 Deposizione di strati sottili2.7.1 Generalit

Sulla superficie della fetta in lavorazione occorre spesso depositare un sottile strato di materiale, che pu essere isolante (normalmente ossido di silicio o nitruro di silicio) o conduttore (normalmente alluminio, rame, silicio policristallino fortemente drogato, metalli refrattari). Lo spessore dello strato deposto compreso in genere, a seconda dei casi, tra poche centinaia (o qualche caso decine) di e 12 m. Lo scopo della deposizione di questi strati formare le piste di interconnessione (ottenute ovviamente con materiale conduttivo) e isolarle tra loro mediante dielettrico (in questo andranno ovviamente praticati fori per permettere il collegamento elettrico

19

tra piste o elementi conduttivi appartenenti a strati diversi). Anche lo strato finale di isolante di protezione della fetta (strato di passivazione) viene deposto con queste tecniche. In molti processi viene pure deposto un sottile strato di metallo (o di metalli) sul retro della fetta (si veda paragrafo 3.2.2.1), oppure sulle piazzole di interconnessione (pad si veda paragrafo 3.3) per facilitare (o permettere) le successive operazioni di chiusura delle piastrine nel contenitore e/o sul substrato di utilizzo. La selettivit dell'operazione, richiesta praticamente in tutti i casi (a eccezione del caso in cui si metallizza il retro della fetta) viene in genere ottenuta mediante una fase (successiva alla deposizione) di mascheratura e attacco selettivo dello strato deposto (si veda paragrafo 2.11)6. Caratteristiche molto importanti delle operazioni di deposizione di strati sottili in tecnologia planare sono le seguenti. - Uniformit dello strato deposto (allinterno della stessa fetta e tra diverse fette). Essa deve essere quanto pi possibile elevata. - Temperatura della fetta alla quale viene effettuata la deposizione. Ove possibile, meglio che la temperatura alla quale deve essere portata la fetta non sia eccessivamente elevata. - Capacit di copertura dei gradini (step coverage) ed effetto ombra. La presenza di una topografia non esattamente planare sulla superficie della fetta richiede buona capacit di copertura dei gradini (al fine di ottenere per lo strato deposto uno spessore effettivo uniforme su tutta la fetta) e la minimizzazione degli effetti ombra (la deposizione potrebbe non avvenire, o avvenire in modo non adeguato, su alcune regioni della superficie della fetta che risultano almeno parzialmente schermate a causa della non-planarit della superficie). - Produttivit. Come per le altre operazioni, il costo per fetta lavorata di importanza fondamentale a livello industriale. Esso dipende dal costo delle attrezzature impiegate e della mano dopera, e dal numero di fette lavorate nellunit di tempo (throughput). Le tecniche utilizzate industrialmente su larga scala per ottenere la deposizione di strati sottile possono essere divise in due categorie, cio la deposizione chimica da fase vapore e la deposizione fisica da fase vapore. Unulteriore tecnica di deposizione (la crescita epitassiale), tesa a fornire allo strato deposto caratteristiche peculiari, verr trattata separatamente nel paragrafo 2.8. Per alcuni metalli viene pure impiegata la tecnica di deposizione per placcatura chimica (electroless) o per placcatura elettrochimica.

2.7.2 Deposizione chimica da fase vapore (Chemical vapour deposition, CVD)

Nella deposizione chimica da fase vapore (indicata spesso con lacronimo CVD), il materiale da depositare sulla superficie della fetta viene ottenuto mediante reazione6

Un flusso di processo alternativo, con cui la selettivit di uno strato deposto viene ottenuta con tecnica differente da quella qui indicata di deposizione su tutta la fetta seguita da mascheratura e 9 attacco selettivo, quello che fa uso del cosiddetto lift-off (si veda paragrafo 2.11.1, nota ). Un altro caso in cui la selettivit viene ottenuta con una tecnica differente quello della deposizione di rame con processo damasceno (si veda paragrafo 4.2.2.3).

20

chimica tra opportune sostanze gassose immesse nell'atmosfera del reattore nel quale condotta l'operazione. Il reattore, come al solito, in genere ha le pareti in quarzo, e al suo interno le fette sono tenute a temperatura moderata o elevata (valori tipici sono compresi tra 300 C e 1000 C a seconda dei casi), per facilitare il verificarsi della reazione chimica in loro prossimit e favorire cos la deposizione del materiale desiderato su di esse. In alcuni casi, per evitare la deposizione del prodotto della reazione sulle pareti del reattore, queste ultime sono tenute a bassa temperatura (CVD in reattore a pareti fredde): le fette sono portate alla temperatura desiderata, ad esempio, mediante riscaldamento del supporto su cui esse appoggiano (per induzione utilizzando un suscettore in grafite, o, meglio, per riscaldamento a resistenza, etc.) o mediante riscaldamento diretto con lampade a raggi infrarossi situate allinterno del reattore (che riscaldano pure il suscettore); talvolta le pareti sono raffreddate, ad esempio, con acqua. In altri casi (tipicamente per deposizione LPCVD, si veda sotto) si usano invece reattori a pareti calde, riscaldati in genere con forni a pi zone; dato che, in questo caso, il prodotto della reazione si deposita anche sulle pareti del reattore, questultimo deve essere periodicamente pulito o sostituito. La deposizione pu essere condotta in reattori a pressione atmosferica (atmospheric-pressure CVD, APCVD, detta spesso semplicemente CVD: i gas reagenti sono introdotti nel reattore miscelati con un gas portante, ad esempio azoto o idrogeno, a pressione atmosferica) o, pi modernamente, in reattori con tenuta a vuoto, coi quali si lavora a bassa pressione (low-pressure CVD, LPCVD: i gas reagenti sono solitamente introdotti nel reattore miscelati con gas portante a bassa pressione). Unulteriore tecnica di deposizione CVD quella assistita da plasma (plasma enhanced CVD, PECVD, o plasma-assisted CVD, PACVD): durante l'operazione i reagenti vengono portati in stato di plasma mediante scarica elettrica a bagliore in reattori a bassissima pressione. In questo caso, la deposizione pu venire condotta a bassa temperatura (100400 C), il che consente di effettuarla senza alterare apprezzabilmente le strutture precedentemente realizzate sulla fetta. Per la deposizione di ossido di silicio con tecnica CVD (silicon glass, SG) possono essere impiegate differenti reazioni, come le seguenti. - Reazione tra silano (SiH4) e ossigeno, a temperatura inferiore a 500 C (APCVD o LPCVD): SiH4 + O2 SiO2 + 2H2 (2.20)

- Reazione tra diclorosilano (SiH2Cl2) e protossido di azoto (N2O), solitamente con tecnica LPCVD a circa 900 C: SiH2Cl2 + 2N2O SiO2 + 2N2 + 2HCl (2.21)

- Decomposizione del tetraetile ortosilicato, o tetraossisilano (TEOS, Si(OC2H5)4), in genere con tecnica LPCVD a 650750 C: Si(OC2H5)4 SiO2 + sottoprodotti (2.22)

21

Al fine di variare le caratteristiche dell'ossido deposto, tipicamente per poterlo ammorbidire onde smussarne i gradini e renderne pi planare la superficie superiore e/o per diminuire la mobilit in esso di contaminanti, quali lo ione sodio (Na+), assai pericoloso per l'affidabilit dei componenti, spesso viene aggiunta nell'ossido una quantit controllata di impurit, ottenendo i cosiddetti ossidi drogati (gli elementi usati come impurit drogante sono per lo pi boro, fosforo e talvolta arsenico). A tale scopo viene immessa nel reattore, durante la deposizione, una modesta quantit di un composto gassoso dell'impurit (generalmente un idruro). Per smussare i gradini presenti sulla superficie dell'ossido drogato, dopo averlo deposto si ricorre in genere alla tecnica dell'oxide reflow, consistente in un trattamento termico a temperatura opportuna. Spesso vengono depositati uno strato di ossido non drogato e uno di ossido drogato sovrapposti. opportuno ribadire che la deposizione dell'ossido di silicio non va confusa con l'ossidazione termica; le due operazioni dnno origine a ossidi con caratteristiche differenti tra loro. Come accennato in precedenza, l'ossido deposto viene utilizzato come isolante tra strati conduttivi e come strato di passivazione finale della fetta. Il nitruro di silicio pu viene deposto utilizzando una delle seguenti tecniche di tipo CVD. - Reazione tra silano e ammoniaca (NH3), tipicamente con tecnica APCVD a temperatura compresa tra 700 C e 900 C: 3SiH4 + 4NH3 Si3N4 + 12H2 (2.23)

- Reazione tra diclorosilano e ammoniaca, tipicamente con tecnica LPCVD tra 600 C e 800 C: 3SiH2Cl2 + 4NH3 Si3N4 + 6HCl + 6H2 (2.24)

- Nitruro di silicio non stechiometrico, contenente unelevata percentuale di idrogeno, viene deposto utilizzando la tecnica CVD assistita da plasma, con reazioni del tipo 2SiH4 + N2 2SiNH + 3H2 o SiH4 + NH3 SiNH + 3H2 (2.26) (2.25)

Il nitruro di silicio viene tipicamente utilizzato come strato mascherante durante la lavorazione della fetta (si veda paragrafo 4.2.1.1 per il suo impiego al fine consentire lossidazione selettiva di campo in un processo di fabbricazione in tecnologia CMOS) e come strato di passivazione finale (da solo o insieme con strati di ossido di silicio deposto). Per deporre il silicio policristallino (spesso detto pi semplicemente polisilicio o poly), viene per lo pi utilizzata la pirolisi del silano, a temperatura di 600650 C, generalmente con tecnica LPCVD: SiH4 Si + 2H2 (2.27)

Il silano usato o puro o diluito (in percentuale del 2030%) in azoto (meno comunemente il silicio policristallino viene deposto a temperatura pi elevata

22

9501000 C in atmosfera di idrogeno). Il silicio cos ottenuto si deposita in uno strato policristallino sulla superficie della fetta in lavorazione. Il silicio policristallino viene tipicamente usato per realizzare piste conduttive. Per portarne la resistivit (che nel silicio policristallino puro assai elevata) a valori sufficientemente bassi, esso viene drogato assai pesantemente, o direttamente durante la deposizione (immettendo l'elemento drogante nell'atmosfera del reattore, ad esempio sotto forma di idruro), o dopo la deposizione (per diffusione o, pi comunemente, per impiantazione ionica). Nei processi pi moderni, con tecnica CVD possono venire depositati anche metalli, in particolare metalli refrattari. A questo proposito importante la deposizione del tungsteno (molto impiegato per la realizzazione dei contatti), realizzata a partire da esafluororo (WF6) o per scissione: WF6 W + 3F2 o per reazione con idrogeno: WF6 + 3H2 W + 6HF (2.29) (2.28)

Con tecnica CVD possono venire depositati anche molidbeno, titanio e tantalio, nonch siliciuri di metallo refrattario, come quelli di tungsteno (WSi2), di titanio (TiSi2), di tantalio (TaSi2) e di molibdeno (MoSi2), e nitruro di titanio (TiN). Anche lalluminio (che il metallo tradizionalmente impiegato per le interconnessioni nei circuiti integrati) pu essere deposto mediante tecnica CVD, a partire da un suo composto metallo-organico. Questa tecnica di deposizione d per problemi, per cui attualmente per questo metallo di gran lunga preferita la tecnica di deposizione fisica da fase vapore (si veda paragrafo 2.7.3). Sono allo studio pure tecniche CVD per la deposizione del rame (metallo impiegato per le interconnessioni nei processi pi moderni); attualmente, per, industrialmente il rame viene deposto prevalentemente con tecnica elettrochimica. 2.7.3 Deposizione fisica da fase vapore (Physical vapour deposition, PVD) Le tecniche di deposizione fisica da fase vapore (indicate spesso con lacronimo PVD) sono basate sulla condensazione, sulla superficie della fetta, della sostanza da depositare, che stata portata nella fase di vapore nella camera (a vuoto) di deposizione con opportune tecniche. Esistono fondamentalmente due tecniche di deposizione fisica da fase vapore, cio l'evaporazione sotto vuoto e la polverizzazione catodica (sputtering). Esse differiscono tra loro soprattutto per il metodo con cui il materiale viene portato in stato di vapore; in entrambi i casi la deposizione sulla superficie della fetta in lavorazione viene ottenuta per adsorbimento degli atomi del materiale vaporizzato, che raggiungono la fetta con elevata energia cinetica. Perch gli atomi evaporati possano raggiungere la fetta con energia sufficiente, senza subire molte collisioni, e per evitare contaminazioni del vapore stesso (e ottenere quindi la purezza desiderata dello strato deposto), in entrambi i casi indispensabile che la deposizione avvenga in condizioni di vuoto. Queste sono peraltro diverse nelle due tecniche: la deposizione per evaporazione sotto vuoto avviene con vuoto pi spinto. Gli strati depositati con tecnica PVD possiedono propriet di ottima adesione al substrato e di buona stabilit chimico-fisica, hanno uno spessore uniforme e presentano una struttura ordinata.

23

a) Evaporazione sotto vuoto Con questa tecnica, il materiale da depositare viene portato in fase di vapore mediante riscaldamento in una camera a vuoto. Nella camera di deposizione, gli atomi del materiale evaporato viaggiano nel vuoto fino a raggiungere la fetta, ove si depositano. Il calore necessario pu essere fornito con vari metodi. Il materiale da depositare pu essere posto in un crogiuolo di materiale inerte altofondente (come tungsteno), riscaldato per effetto Joule o per induzione (evaporazione a crogiuolo); in questo caso esiste il rischio di contaminazione a causa delle emissioni da parte del crogiuolo, che portato ad alta temperatura. Il materiale stesso pu essere posto in un crogiuolo ed evaporato direttamente mediante bombardamento con fascio elettronico (evaporazione a fascio elettronico: in questo caso il crogiuolo viene raffreddato ad acqua, in modo che resti a bassa temperatura e non contamini il materiale evaporato; un problema rappresentato dallemissione di elettroni secondari da parte del materiale bombardato: questi elettroni possono colpire la fetta di silicio in lavorazione e danneggiarla). Talvolta, anzich un fascio elettronico pu venire usato un fascio laser a impulsi (evaporazione a fascio laser). In alcuni casi, se il materiale da evaporare pu essere riscaldato senza fondere a una temperatura sufficientemente elevata da garantire unadeguata pressione di vapore nella camera di deposizione, si fa fluire corrente elettrica in un filamento del materiale stesso (evaporazione a filamento). Unaltra tecnica prevede levaporazione istantanea di un sottile filo del materiale da depositare, ottenuta ad esempio ponendo a contatto il filo stesso con una barra di ceramica a elevata temperatura (evaporazione flash). La deposizione deve essere condotta in condizioni di vuoto, per consentire al vapore del materiale di non contaminarsi e di raggiungere il pi possibile indisturbato la fetta. Il valore della pressione nella camera varia a seconda dei casi, e pu raggiungere valori anche nellordine di 109 torr (come nel caso dellevaporazione a fascio elettronico). Con la tecnica dellevaporazione sotto vuoto si possono depositare l'alluminio (puro o in lega con piccole percentuali di altri materiali, quali silicio e rame), e altri metalli, quali oro (tipicamente per metallizzare il retro delle fette), platino, nickel, cromo, palladio, titanio, molibdeno, tungsteno, etc. (anche se, ovviamente, tale tecnica non risulta molto indicata per i materiali refrattari). Si possono anche depositare leghe o composti, evaporando contemporaneamente pi materiali, che reagiscono prima di depositarsi (evaporazione reattiva) o si co-depositano sulla fetta (co-evaporazione). da osservare che per la corretta deposizione di una lega occorre un adeguato controllo della pressione parziale di vapore dei costituenti della lega stessa (in particolare, levaporazione da un crogiolo contenente la lega d luogo alla deposizione di uno strato di materiale con composizione diversa da quella della sorgente se le pressioni parziali di vapore dei costituenti alla temperatura di evaporazione sono tra loro diverse, come in genere: in tal caso, opportuno ricorrere alla co-evaporazione). b) Polverizzazione catodica (sputtering) Con la tecnica della polverizzazione catodica, o sputtering, un bersaglio solido (target) del materiale da depositare viene bombardato sotto vuoto mediante ioni di gas inerte (tipicamente ioni di argon, Ar+), che producono l'eiezione a freddo di atomi dalla superficie del bersaglio. Nella camera di deposizione il gas inerte, tenuto a 24

bassa pressione, viene portato allo stato di plasma (cio in stato di forte ionizzazione) mediante scarica elettrica a bagliore ottenuta applicando elevata tensione (compresa tra qualche centinaio e qualche migliaio di V) tra due elettrodi che fungono rispettivamente da anodo e da catodo. Lalimentazione della scarica pu essere in continua o a radiofrequenza. Sul catodo viene posto il target del materiale da depositare, che in tal modo viene bombardato dagli atomi ionizzati ed espelle grappoli di atomi. Questi vengono pertanto a trovarsi in stato di vapore e andranno a depositarsi sulle fette di silicio con adeguata energia, consentendo un'ottima adesione dello strato depositato al substrato (che, nel nostro caso, la fetta). La pressione nella camera di deposizione deve avere un valore tale da consentire la formazione del plasma (questo, infatti, non pu essere sostenuto n con pressione troppo bassa, n con pressione troppo alta), e risulta pertanto pi elevata rispetto al caso della deposizione per evaporazione sotto vuoto. Valori tipici di pressione nelle camere di sputtering sono nellordine di 102101 torr, anche se in alcuni casi si pu arrivare a 104 torr. La tecnica di sputtering offre maggior flessibilit operativa rispetto alla tecnica di evaporazione sotto vuoto e, per questo motivo, in genere preferita. Con la tecnica di sputtering si possono depositare sia metalli, sia dielettrici, sia composti, sia leghe. In particolare, la tecnica dello sputtering pu venire usata per depositare sia materiali altofondenti (refrattari), quali titanio, molibdeno, tantalio, tungsteno, sia materiali bassofondenti, quali rame e altri metalli. Questa anzi la tecnica di gran lunga pi impiegata industrialmente per la deposizione dellalluminio (in lega con basse percentuali di altri metalli, tipicamente silicio e rame). Si pu anche utilizzare lo sputtering reattivo, per ottenere e depositare composti, o il co-sputtering, per depositare leghe (ad esempio siliciuri di metallo refrattario, per i quali peraltro preferito lo sputtering da un bersaglio di lega stechiometrica). Lo sputtering pu venire usato anche per depositare composti dielettrici (ad esempio ossido di silicio e ossido di alluminio, Al2O3), nonch nitruro di titanio (TiN), carburo di silicio (SiC), etc. Nel caso di deposizione di materiali dielettrici, ovviamente, il plasma dovr essere formato mediante scarica elettrica a radiofrequenza. Confronto tra le tecniche CVD e PVD Per quanto riguarda le caratteristiche sopra menzionate relativamente alla deposizione di strati sottili, si pu osservare quanto segue. - Uniformit dello strato deposto. Questa attualmente adeguata sia per la tecnica CVD sia per le tecniche PVD. - Temperatura della fetta alla quale viene effettuata la deposizione. La temperatura a cui tenuta la fetta pi bassa nel caso delle tecniche PVD (nella tecnica CVD occorre infatti fornire lenergia necessaria per attivare le reazioni chimiche con sufficiente velocit in prossimit della fetta); tra le tecniche CVD, quella assistita da plasma consente di operare a temperature pi basse. - Capacit di copertura dei gradini ed effetto ombra. Questa caratteristica buona per la tecnica CVD (per le quali si riesce ad avere sostanzialmente una ricopertura conformale), mentre pi scarsa per le tecniche PVD (in queste ultime, infatti, gli atomi che passano in fase vapore percorrono traiettorie sostanzialmente rettilinee per arrivare alla fetta sulla quale si depositano). A questo riguardo, tra le tecniche PVD risulta migliore quella per sputtering, in quanto gli urti degli atomi con le particelle del plasma rendono meno rettilinee le traiettorie degli atomi tra il

25

bersaglio e la fetta. Per migliorare questo aspetto, quando si impiega una tecnica PVD, spesso le fette vengono fatte oscillare o ruotare durante la deposizione. Un modesto riscaldamento della fetta pu contribuire a migliorare la copertura dei gradini. - Produttivit. Questa in genere pi elevata per la tecnica CVD (le attrezzature sono meno costose, e si pu pi agevolmente lavorare con lotti di fette).2.7.4 Placcatura chimica e placcatura elettrochimica

Nei processi di fabbricazione dei circuiti integrati possono venire impiegate anche tecniche di deposizione di metalli per placcatura di tipo chimico (electroless) e di tipo elettrochimico. Per la descrizione di queste tecniche si rimanda alla trattazione dei processi di fabbricazione dei circuiti stampati, per i quali esse sono impiegate estensivamente (naturalmente, nel caso delle tecnologie per circuiti integrati sono richieste caratteristiche superiori di accuratezza e uniformit di spessore, purezza e pulizia). In linea generale, da osservare che i processi di deposizione di tipo CVD e PVD portano a strati con caratteristiche eccellenti, per cui nelle tecnologie tradizionali di fabbricazione dei circuiti integrati le interconnessioni vengono realizzate (quasi) esclusivamente con queste tecniche (nelle tecnologie tradizionali, peraltro, tecniche di placcatura vengono utilizzate per la deposizione di strati di metallo in alcuni casi specifici). Nelle tecnologie moderne, le tecniche di placcatura stanno trovando un certo spazio, e se ne prevede un utilizzo pi esteso per il futuro, ad esempio per processi a basso costo e bassa temperatura. Grande importanza sta acquisendo, in particolare, la deposizione per placcatura elettrochimica del rame come materiale per interconnessione nei processi di fabbricazione pi avanzati (si veda paragrafo 4.2.2.3).2.7.5 Considerazioni conclusive sulla deposizione dei metalli

Lalluminio, che il metallo attualmente pi utilizzato per la realizzazione delle interconnessioni nei circuiti integrati, viene tipicamente deposto (in lega con piccolissime percentuali di silicio e di rame) con tecnica PVD (in genere per sputtering). Il rame, che sta emergendo come materiale per interconnessioni (soprattutto in virt della pi bassa resistivit e della migliore immunit allelettromigrazione ionica rispetto a quelle dellalluminio), viene tipicamente deposto per via elettrochimica, dopo che sopra il dielettrico presente sulla superficie della fetta stato deposto un sottile strato di un opportuno conduttore, detto seed layer. Il tungsteno, molto impiegato per la realizzazione dei contatti, depositato con tecnica CVD, con la quale possibile riempire fori anche molto sottili. Il silicio policristallino, usato per realizzare lelettrodo di gate dei transistori MOS e alcune interconnessioni, viene deposto con tecnica CVD (in genere LPCVD).

2.8 Crescita epitassiale

26

La crescita epitassiale, o epitassia (dal greco , sopra, e , dispongo ordinatamente), consiste nel depositare sulla superficie di silicio della fetta (detta in genere substrato) uno strato di silicio avente la stessa struttura cristallografica della fetta stessa.7 Lo spessore dello strato ottenuto per epitassia va tipicamente da decimi di m a qualche decina di m, e il suo drogaggio pu essere dello stesso tipo di quello del substrato o di tipo opposto. Esistono diverse tecniche utilizzabili per la crescita epitassiale, quali la crescita da fase vapore, la crescita da fase liquida (eseguita a partire da una soluzione satura contenente il semiconduttore da accrescere o gli elementi che lo compongono), la crescita sotto vuoto (mediante sputtering, evaporazione, fascio molecolare), la ricristallizzazione di uno strato di semiconduttore policristallino preventivamente depositato sulla superficie della fetta e poi fuso mediante fascio laser. La tecnica pi affermata industrialmente nella lavorazione del silicio la epitassia da fase vapore (vapour phase epitaxy, VPE), per cui in questa sede ci limiteremo alla sua descrizione. Lepitassia da fase vapore assimilabile alla tecnica CVD vista nel paragrafo 2.7.2, e si discosta da essa per il fatto che lo strato deposto risulta essere monocristallino (oltre che per lo spessore dello strato deposto, che in questo caso pu essere anche molto superiore). La crescita viene eseguita ad alta temperatura (10001250 C) in un reattore con pareti di quarzo nel quale vengono immessi i gas opportuni (reagenti e portanti). Le fette devono essere tenute a temperatura elevata per consentire agli atomi di silicio che si depositano di avere una mobilit sufficiente perch possano disporsi ordinatamente nelle locazioni corrette per fare proseguire il reticolo cristallino della fetta sottostante. Il riscaldamento viene tipicamente eseguito per induzione a radiofrequenza del supporto su cui poggiano le fette (il supporto, detto suscettore, in grafite ricoperta, ad esempio, da carburo di silicio, SiC, o da nitruro di silicio, Si3N4, per evitare emissioni di contaminanti e ottenere maggiore rigidezza) o per irraggiamento delle fette mediante lampade a raggi infrarossi a elevata potenza. In tal modo, le fette vengono scaldate come desiderato, mentre le pareti del reattore vengono tenute fredde. Il riscaldamento mediante raggi infrarossi garantisce una temperatura pi uniforme della fetta (i raggi riescono a raggiungere anche il suscettore che, cos riscaldato, trasmette calore alla fetta dal retro). Per ottenere il silicio necessario per la crescita possono essere impiegati silano, diclorosilano, triclorosilano, o tetracloruro di silicio (SiCl4). Questultimo quello industrialmente pi usato, e forma silicio reagendo con idrogeno: SiCl4 + 2H2 Si + 4HCl (2.30)

La velocit di crescita dello strato deve essere ben controllata (pochi m/min), in modo da lasciare agli atomi che si depositano il tempo per disporsi ordinatamente secondo la struttura reticolare desiderata e da fare quindi crescere lo strato in forma monocristallina. A tale scopo vengono opportunamente regolate le concentrazioni dei gas nel reattore. La crescita epitassiale pu venire condotta sia a lotti sia a singola fetta (attualmente, questultima modalit in genere preferita al fine di assicurare una migliore uniformit di crescita).7

possibile pure accrescere uno strato monocristallino di una sostanza al di sopra di uno strato di materiale differente (eteroepitassia; si pu ad esempio anche accrescere uno strato di silicio monocristallino al di sopra di un substrato isolante). In queste pagine ci limitiamo a vedere laccrescimento di uno strato di silicio al di sopra di un substrato di silicio (omoepitassia).

27

Il drogaggio dello strato epitassiale viene effettuato durante la crescita, aggiungendo nell'atmosfera del reattore unopportuna quantit dell'elemento drogante (al solito, per lo pi, boro, fosforo o arsenico) in stato gassoso (in genere sotto forma di idruro). I vantaggi principali della crescita epitassiale rispetto alle tecniche di drogaggio per sovra-compensazione (diffusione termica e impiantazione ionica) sono i seguenti. - Lo strato accresciuto presenta un drogaggio (idealmente) uniforme lungo tutto lo spessore. - Il passaggio dal drogaggio del substrato a quello dello strato accresciuto avviene bruscamente; nel caso in cui si abbia uno strato accresciuto con drogaggio di polarit opposta rispetto a quella del substrato, ci consente la realizzazione di giunzioni p-n brusche. - La polarit e la concentrazione di drogante nello strato accresciuto possono essere scelte indipendentemente da quelle del substrato (rispetto a quello del substrato, il drogaggio pu essere della stessa polarit o di polarit opposta, e pu essere pi elevato o meno elevato). Come gi sottolineato, nel caso di drogaggio ottenuto per sovra-compensazione (come accade quando si utilizzano la diffusione termina o limpiantazione ionica), per motivi di tolleranza di produzione industriale, la concentrazione di drogante ottenuta deve invece essere necessariamente (molto) superiore rispetto a quella originaria. - La concentrazione delle impurit droganti nel silicio risulta uguale alla concentrazione effettiva di drogante, che pari alla differenza tra la concentrazione degli accettori e quella dei donatori (nel caso di drogaggio ottenuto per sovracompensazione, invece, la concentrazione delle impurit droganti nel silicio risulta pari alla somma della concentrazione degli accettori e di quella dei donatori). A parit di drogaggio effettivo, ci porta a una migliore struttura del reticolo cristallografico del silicio e quindi, in particolare, a una pi elevata mobilit dei portatori di carica. La crescita epitassiale, peraltro, un passo di processo delicato e risulta piuttosto costoso. Problemi tipici di questo passo di processo sono i seguenti. - Autodoping. Il substrato e il suscettore rilasciano impurit nellatmosfera del reattore: queste impurit si aggiungono a quelle aggiunte intenzionalmente, e alterano il drogaggio dello strato in crescita. - Outdiffusion. Le impurit presenti nel substrato diffondono da questultimo entro lo strato in crescita, alterandone il drogaggio. da osservare che, a causa sia dellautodoping, sia della outdiffusion, la transizione del drogaggio dal substrato allo strato epitassiale risulta meno brusca rispetto al caso ideale. Inoltre, la posizione della giunzione p-n pu risultare spostata rispetto a quella prevista idealmente. - Eventuali difetti cristallografici presenti nel substrato si possono propagare nello strato in crescita. - Se il substrato contiene al proprio interno figure geometriche che ne alterano la planarit (tipico il caso degli strati sepolti nelle tecnologie dei circuiti integrati bipolari si veda paragrafo 4.3.1.1), la crescita epitassiale determina uno spostamento delle figure nello strato in crescita, che non facile da prevedere con precisione.

2.9 Gettering

28

Operazioni note col nome di gettering vengono condotte allo scopo di migliorare la qualit della fetta di silicio. Pi specificamente, lo scopo ridurre la concentrazione di impurit indesiderate (principalmente, ma non esclusivamente, quelle di metalli pesanti quali rame, oro, ferro, nickel) nella regione superficiale della fetta di silicio, che quella elettricamente attiva. In questo modo viene formata in superficie una zona (denuded zone, che ha spessore tipico di 1020 m) pressoch immune dalle impurit di cui sopra e dai danni che esse causano (i metalli pesanti riducono il tempo di vita medio e la mobilit dei portatori nel silicio). Per raggiungere tale scopo, tipicamente le impurit indesiderate vengono fatte precipitare in zone della fetta elettricamente non attive, o vengono fatte uscire dalla fetta stessa verso l'ambiente circostante, o vengono fatte combinare con altri elementi per formare composti non dannosi. Tecniche molto comuni di gettering prevedono il danneggiamento del retro della fetta: questo danneggiamento provoca nella struttura cristallina difetti che fungono da trappole per le impurit indesiderate (la migrazione delle impurit verso queste trappole viene favorita mediante un opportuno trattamento termico). Il danneggiamento viene spesso ottenuto per mezzo di abrasione o di impiantazione ionica di argon. In altri casi, per danneggiare il retro della fetta vengono sfruttate le tensioni meccaniche indotte dalla deposizione, sul retro stesso, di nitruro di silicio o di silicio policristallino a bassa temperatura. Queste tecniche di backside gettering sono di tipo estrinseco, in quanto non sfruttano elementi gi contenuti nella fetta di silicio. Unaltra tecnica di gettering prevede la combinazione dellossigeno presente allinterno delle fette (soprattutto se ottenute con tecnica Czochralski) con le impurit indesiderate, al fine di formare precipitati e/o cluster di SiO2 che agiscono come trappole per le impurit stesse (gettering intrinseco, perch sfrutta elementi gi presenti nella fetta). In questo caso, previsto un trattamento termico iniziale al fine di allontanare lossigeno dalla regione superficiale.

2.10 AnnealingL'annealing (ricottura) un trattamento termico che consiste nel tenere la fetta a una temperatura moderatamente elevata per un certo tempo e nel raffreddarla poi lentamente. Operazioni di annealing vengono condotte per diversi scopi. Un primo scopo la diminuzione degli stati superficiali presenti all'interfaccia tra silicio e ossido di silicio al termine di un'operazione di ossidazione termica. In questo caso, l'annealing condotto a temperature che possono anche raggiungere 1000 C in atmosfera inerte (o riducente), e sostanzialmente serve per completare l'ossidazione della superficie del silicio, diminuendo cos fortemente i legami non saturati degli atomi di silicio superficiali. Un secondo scopo, gi accennato in precedenza, riparare i danni subiti dal reticolo durante alcune fasi di lavorazione della fetta (tipicamente durante un'operazione di impiantazione ionica o una deposizione per evaporazione sotto vuoto con riscaldamento mediante fascio elettronico). Per questa applicazione, l'annealing viene condotto a temperature di 6001000 C: a queste temperature gli atomi della fetta acquistano mobilit sufficiente per andare a sistemarsi nelle posizioni corrette del reticolo cristallino. Come gi sottolineato, nel caso dellimpiantazione ionica lannealing serve pure per attivare la dose impiantata. Questa operazione pu assumere i caratteri di vera e propria epitassia da stato solido (lo strato superficiale sostanzialmente amorfizzato dallimpiantazione viene convertito in strato 29

monocristallino con trattamento termico, che pu essere di soli 600 C). Spesso loperazione di annealing che segue limpiantazione ionica condotta mediante lutilizzo di impulsi di luce di alta intensit e breve durata (rapid thermal annealing, RTA): in tal modo possibile ottenere gli effetti desiderati senza alterare eccessivamente i profili di drogaggio preesistenti nella fetta. Come accennato nel paragrafo 2.7.2, operazioni di annealing possono essere condotte anche dopo la deposizione di ossido, al fine di smussarne i gradini (oxide reflow), per facilitare la copertura dei gradini nella successiva fase di deposizione di strati sottili.

2.11 Litografia2.11.1 Il processo litografico

Un circuito integrato ottenuto sovrapponendo, al di sopra della superficie di silicio, diversi strati di materiale (di semiconduttore, di conduttore e di isolante) e riproducendo, su ogni singolo strato, figure geometriche di forma, dimensioni e posizioni relative bene specificate. La tecnica che consente di ottenere la selettivit degli effetti dei processi di deposizione (o accrescimento) dei singoli strati o della variazione delle loro caratteristiche (cio dei processi tecnologici appartenenti alla prima categoria indicata nel paragrafo 2.3), e che quindi permette di ottenere sugli strati in lavorazione linsieme delle figure geometriche (pattern) desiderate, viene detta litografia. Le tecniche litografiche usate nella fabbricazione dei circuiti integrati sono sostanzialmente sviluppi estremamente sofisticati, condotti soprattutto negli ultimi 40 anni, delle tecniche litografiche tradizionali, usate a partire dalla fine del diciottesimo secolo (il nome deriva da = pietra e = scrivo: riproduzione di immagini a partire da una lastra di pietra). Generalmente, un processo litografico completo si realizza in due fasi: mascheratura della fetta e susseguente attacco selettivo.8 Mediante la mascheratura il pattern desiderato (che present