48
©Synopsys2009 1 IC Compiler 2009 Webinar Series IC Compiler 2009 Webinar Series Faster Design Closure with Congestion Minimization Design Compiler Graphical & IC Compiler JC Lin, R&D VP Janet Olson, R&D Group Dir June 9 th , 2009

Faster Close Webinar

Embed Size (px)

Citation preview

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 1/48

©Synopsys2009 1 IC Compiler 2009 Webinar Series

IC Compiler 2009 Webinar Series

Faster Design Closure with

Congestion Minimization

Design Compiler Graphical & IC Compiler 

JC Lin, R&D VP

Janet Olson, R&D Group Dir June 9th, 2009

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 2/48

©Synopsys2009 2 IC Compiler 2009 Webinar Series

Welcome!IC Compiler 2009 Webinar Series 

ICCompiler 

CongestionMinimization

Signoff-Driven

Closure

In-DesignRail

Analysis

In-DesignPhysical

Verification

• Previous topics:

 – Signoff-driven closure

 – In-design physical verification

• Upcoming topic:

 – In-design rail analysis on 6/25

• Today’s topic:

 – Congestion minimization

Recordings and presentations available at

www.synopsys.com

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 3/48

©Synopsys2009 3 IC Compiler 2009 Webinar Series

Welcome!Presenters Introduct ion 

Dr. JC Lin

R&D VP, Placement and CTS in IC Compiler 

Janet Olson

R&D Group Dir, Design Compiler Graphical

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 4/48

©Synopsys2009 4 IC Compiler 2009 Webinar Series

• Routing congestion and its sources – Congestion causes schedule delays

• Design Compiler Graphical and IC Compiler  – Best solution for congestion minimization

• Traditional congestion minimization flow – Iterations required between synthesis and place & route

• Congestion minimization with Design Compiler Graphical

 – Faster convergence with higher schedule predictability

• Summary

Agenda

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 5/48

©Synopsys2009 5 IC Compiler 2009 Webinar Series

• Routing congestion and its sources – Congestion causes schedule delays

• Design Compiler Graphical and IC Compiler  – Best solution for congestion minimization

• Traditional congestion minimization flow – Iterations required between synthesis and place & route

• Congestion minimization with Design Compiler Graphical

 – Faster convergence with higher schedule predictability

• Summary

Agenda

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 6/48

©Synopsys2009 6 IC Compiler 2009 Webinar Series

Routing Congestion: A Problem

Almost Every IC Designer Faces

• Congestion occurs

when the number of

wires going through a

routing region exceedsits capacity

 – To meet timing

 – To avoid blockages

 – Due to area constraints

Congestion Map

To resolve congestion, Place & Route tools need to make

intelligent tradeoff between timing QoR and routability

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 7/48©Synopsys2009 7 IC Compiler 2009 Webinar Series

Sources of Routing Congestion

• Floorplan

 – i.e. macro-placement

• RTL structures

 – i.e. MUX trees

• High utilization – i.e. limited room

Floorplan-related

RTL-related

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 8/48©Synopsys2009 8 IC Compiler 2009 Webinar Series

Congestion Can Be A Major

Contributor To Schedule Delays

Customer A

3 months delay

RTL modifications

90 nm

500K instances

Consumer Electronics

Customer B

3 months delay

RTL modifications

65 nm

400K instances

3G Wireless

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 9/48

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 10/48©Synopsys2009 10 IC Compiler 2009 Webinar Series

Galaxy Platform EvolutionFrom Dataflow to Smart ‘Look Ahead’ Technology 

2002

Dataflow

   S   i  g  n  o   f   f

Place & Route

Physical

Synthesis

Design

Planning

Synthesis

2004

Correlation

   S   i  g  n  o   f   f Design

Planning

Synthesis

Place & Route

2007

‘Look Ahead’

Place & Route

Synthesis

   S   i  g  n  o   f   f

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 11/48©Synopsys2009 11 IC Compiler 2009 Webinar Series

Design Compiler + IC Compiler Leading Choice for Design Implementat ion 

Leading Choice For Synthesis

• Best-in-class QoR

• Correlation w/ layout minimizes congestion

•  Automated & complete power synthesis• Faster TAT with in-built test

Leading Choice For Physical Design

• Best-in-class QoR• 45nm/32nm production proven

• Optimized for power and DFM

• Excellent signoff correlation

IC

Compiler 

Design

Compiler 

   S   i  g  n  o   f   f

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 12/48©Synopsys2009 12 IC Compiler 2009 Webinar Series

Design Compiler + IC CompilerTopog raphical Technology fo r Predictabi l i ty 

Timing & Area Power Test

IC

Compiler 

Design

Compiler 

   S   i  g  n  o   f   f

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 13/48

©Synopsys2009 13 IC Compiler 2009 Webinar Series

Design Compiler Graphical + IC Compiler Best Solut ion fo r Congest ion Minim ization 

 Accurate prediction of congestion

Early physical visualization

Congestion optimization in synthesis

MCMM synthesis

Shared technology with IC Compiler 

Design Compiler Graphical

IC Compiler 

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 14/48

©Synopsys2009 14 IC Compiler 2009 Webinar Series

• Routing congestion and its sources – Congestion causes schedule delays

• Design Compiler Graphical and IC Compiler  – Best solution for congestion minimization

• Traditional congestion minimization flow – Expensive iterations required

• Design Compiler Graphical congestion minimization

 – Faster convergence with higher schedule predictability

• Summary

Agenda

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 15/48

©Synopsys2009 15 IC Compiler 2009 Webinar Series

Traditional Flow For Handling Congestion

Synthesis • Focused on timing, power,area & test

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 16/48

©Synopsys2009 16 IC Compiler 2009 Webinar Series

Traditional Flow For Handling Congestion

Synthesis

Gate level Netlist

Place and Route

• P&R tries to resolve

congestion

• Timing driven congestion-removal

•  Auto-area-recovery for

high density hot spots

• Congestion analysis for

floorplan adjustment and

placement controls

Congestion

minimization

techniques

High congestion

discovered

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 17/48

©Synopsys2009 17 IC Compiler 2009 Webinar Series

Traditional Flow For Handling Congestion

Synthesis

Gate level Netlist

Place and Route

Congestion

minimization

techniques

High congestion

discovered Sometimes P&R succeeds to

resolve congestion

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 18/48

©Synopsys2009 18 IC Compiler 2009 Webinar Series

Traditional Flow For Handling Congestion

Synthesis

Gate level Netlist

Place and Route

Congestion

minimization

techniques

High congestion

discovered Sometimes P&R does not

succeed

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 19/48

©Synopsys2009 19 IC Compiler 2009 Webinar Series

Convergence Issues with Traditional Flow1. Congestion is Detected to o Late in the Design Cycle

• Design is optimizedwithout considering theeffect of congestion – RTL optimizations are

optimistic

• Congestion removal inP&R leads to tradeoffbetween timing QoR

and routability – Increased wirelength

degrades timing QoR

Synthesis

• WNS: -0.46ns

Place & Route

• WNS: -0.81ns•  Area increase post

placement: 15%

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 20/48

©Synopsys2009 20 IC Compiler 2009 Webinar Series

Convergence Issues with Traditional Flow2. Congestion Removal in P&R May Require FP Changes 

• Congestion removal

in P&R may need

floorplan adjustments

late in the flow – Schedule gets

impacted

 – Possible increase in

die size – Timing degradation

because of long paths

Congestion with

increased channel size

Congestion withoriginal channel size

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 21/48

©Synopsys2009 21 IC Compiler 2009 Webinar Series

Convergence Issues with Traditional Flow3. Some Congest ion Canno t Be Resolved in P&R 

• RTL structures can beintrinsically congested – i.e. large MUX trees,

ROM

 – Not trivial to identify inP&R

• Intelligent synthesisalgorithms needed tofree logic fromintrinsic congestion

ROM

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 22/48

©Synopsys2009 22 IC Compiler 2009 Webinar Series

Conclusion

• Reducing congestion in

P&R can be expensive

•  At times, congestion

cannot be resolved in P&R

Synthesis

Place &

Route

 A solution that considers the effect of

congestion in RTL synthesis is needed

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 23/48

©Synopsys2009 23 IC Compiler 2009 Webinar Series

Ideal RTL Synthesis Solution

Tight correlation between synthesis and P&RShared technology 

Better starting point for layoutFaster convergence

Concurrent congestion optimizationTogether with timing, area, power and test 

Early visibility into routing congestion

 Assessing and fixing congestion in synthesis

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 24/48

©Synopsys2009 24 IC Compiler 2009 Webinar Series

• Routing congestion and its sources – Congestion causes schedule delays

• Design Compiler Graphical and IC Compiler  – Best solution for congestion minimization

• Traditional congestion minimization flow – Iterations required between synthesis and place & route

• Design Compiler Graphical congestion minimization

 – Faster convergence with higher schedule predictability

• Summary

Agenda

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 25/48

©Synopsys2009 25 IC Compiler 2009 Webinar Series

• Introduction

• Key capabilities

• Design Compiler Graphical + IC Compiler

best practices

Design Compiler Graphical

Congestion Minimization

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 26/48

©Synopsys2009 26 IC Compiler 2009 Webinar Series

Design Compiler GraphicalExtend ing Topographical Techno logy to Rout ing Congest ion 

DC Graphical

IC Compiler 

• Breakthrough synthesiscapabilities

 – Congestion prediction

 – Congestion optimization

 – Physical visualization – MCMM Synthesis

• Shares technology with ICC

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 27/48

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 28/48

©Synopsys2009 28 IC Compiler 2009 Webinar Series

Design Compiler GraphicalUtil izing ICC Technolog y to Address Rout ing Congest ion 

Virtual placement is

congestion driven

using global route

RTL &

Constraints

Congestion

optimization in

synthesis allows for

larger changes   N  e   t   M  o

   d  e   l

   A  c  c  u  r

  a  c  y

   O  p   t   i  m   i  z

  a   t   i  o  n

Design Compiler Graphical

Netlist

Timing, power, area, test and

congestion optimized

Highly correlated to ICC

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 29/48

©Synopsys2009 29 IC Compiler 2009 Webinar Series

• Introduction

• Key capabilities

 – Congestion prediction – Physical visualization

 – Congestion optimizations

• Design Compiler Graphical + IC Compilerbest practices

Design Compiler Graphical

Congestion Minimization

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 30/48

©Synopsys2009 30 IC Compiler 2009 Webinar Series

Design Compiler GraphicalCongest ion Predict ion  – Est imat ion

• Global Route-based

congestion estimation

• Design partitioned into

virtual array of GlobalRoute Cells (GRCs)

• Shared Synopsys Global

Route technologyVirtual Grid

Virtual Route

Violation = # of wires ‒ max # allowed wiresi

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 31/48

©Synopsys2009 31 IC Compiler 2009 Webinar Series

• Text based reporting with report_congestion

 – Similar format as IC Compiler 

• Provides quick assessment of congestion status

Design Compiler GraphicalCongest ion Predic t ion - Repor t ing 

Design : Test

 Version: B-2008.09-SP3

Date : Fri Feb 13 11:38:50 2008

****************************************

Both Dirs: Overflow = 4651 Max = 5 (10 GRCs) GRCs = 3401 (0.59%)

H routing: Overflow = 2386 Max = 3 (50 GRCs) GRCs = 1788 (0.31%)

 V routing: Overflow = 2265 Max = 3 (18 GRCs) GRCs = 1961 (0.34%)

Overflow = ∑ {Violation(i) x #GRCs with violation(i)}i

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 32/48

©Synopsys2009 32 IC Compiler 2009 Webinar Series

Design Compiler GraphicalPhys ical Visualizat ion 

Debug timing issues due tolayout

Layout view Congestion map

Congestion due

to Floorplan

Congestion

due to RTL

Detect and debug sources ofcongestion

Long path due

to macro location

Early Detection and Debug of Layout Issues 

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 33/48

©Synopsys2009 33 IC Compiler 2009 Webinar Series

Design Compiler GraphicalPhysical Visual izat ion - Congest ion Analysis 

Congestion Map in Layout Viewer 

7

6

5

4

3

2

10

-20

0

0

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 34/48

©Synopsys2009 34 IC Compiler 2009 Webinar Series

Design Compiler GraphicalPhysical Visual izat ion - Congest ion Analysis 

Congestion Map in Layout Viewer 

7

6

5

4

3

2

10

-20

0

0

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 35/48

©Synopsys2009 35 IC Compiler 2009 Webinar Series

Design Compiler GraphicalPhysical Visual izat ion - Congest ion Analysis 

Congestion Map in Layout Viewer 

7

6

5

4

3

2

10

-20

0

0

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 36/48

©Synopsys2009 36 IC Compiler 2009 Webinar Series

Design Compiler GraphicalPhysical Visual izat ion - Congest ion Analysis 

Congestion Map in Layout Viewer 

7

6

5

4

3

2

10

-20

0

0

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 37/48

©Synopsys2009 37 IC Compiler 2009 Webinar Series

Design Compiler GraphicalCongest ion Opt imizat ions 

ROM Example

Creates a Better Starting Point for Place and Route 

Timing/Area Congestion-Aware

case (a)

12'h000: z = 16'h991e;

12'h001: z = 16'hbf94;

12'h002: z = 16'hc0bf;

12'h003: z = 16'h991e;

12'h004: z = 16'hbf84;

12'h005: z = 16'hc0cf;::::::::::::::::::::::12'hffe: z = 16'ha1af;

12'hfff: z = 16'h0c0c;

endcase

4Kx16 ROM • Optimizes highly connected

logic structures

• Creates self-contained sub-

structures to minimize wire

crossing

• Performs congestion-driven

virtual layout optimizations

DC G hi l + IC C il S d U

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 38/48

©Synopsys2009 38 IC Compiler 2009 Webinar Series

DC Graphical + IC Compiler Speed Up

Design ClosureDC Graphical IC Compiler 

Congestion

Prediction

Congestion

Optimization

Correlated

Correlated

C G

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 39/48

©Synopsys2009 39 IC Compiler 2009 Webinar Series

• High compression levels canimpact congestion

 – High number of global connections

for de/compressor logic

 – Many scan chains routes required

•  Adaptive scan compression

congestion optimizations

 – Routing friendly sharing of

compressor/de-compressor logic

 – Scan chain partitioning to reducecongestion

Design Compiler GraphicalCongest ion Opt im izations fo r Adapt ive Scan Compression

Scan de-compressor logic

D i C il G hi l

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 40/48

©Synopsys2009 40 IC Compiler 2009 Webinar Series

Design Compiler GraphicalCongestion Opt im izat ion for 50X Adaptive Scan 

No Congestion

Optimization

Congestion

Optimization

Pre DFT Post DFT Post ICC

Pre DFT Post DFT Post ICC

D i C il G hi l

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 41/48

©Synopsys2009 41 IC Compiler 2009 Webinar Series

Design Compiler GraphicalCongest ion Opt imizat ions Complement ICC 

Source of

congestion

Identify/Fix phase Type of optimization

RTL structure Identify in DC Graphical

Fix in DC Graphical

Logic based: netlist

structuring, mapping,

decompositionFloorplan Identify in DC Graphical

or ICC

Fix in ICC

Floorplan based: MinChip,

manual floorplan updates

High celldensity Identify in DC Graphicalor ICC

Fix in ICC

Placement based: cellspreading

Design Compiler Graphical

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 42/48

©Synopsys2009 42 IC Compiler 2009 Webinar Series

• Introduction

• Key Capabilities

• Design Compiler Graphical + IC Compiler

best practices

Design Compiler Graphical

Congestion Minimization

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 43/48

D i C il G hi l IC C il

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 44/48

©Synopsys2009 44 IC Compiler 2009 Webinar Series

Design Compiler Graphical + IC CompilerConsistency Checker to Ensure Convergence

• Correlation issues often due toinconsistent settings

•  Automated checking compares settings

and highlights differences for:

 – Library setup

 – Variable/command settings

 – Timing constraints

 – Physical constraints

•  Available as SolvNet article 026366https://solvnet.synopsys.com/retrieve/026366.html

DC Ultra

IC Compiler

DC

Settings

ICC

SettingsIC

Compiler 

IC CompilerDC

ConsistencyCheckerNetlist

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 45/48

©Synopsys2009 45 IC Compiler 2009 Webinar Series

• Routing congestion and its sources – Congestion causes schedule delays

• Design Compiler Graphical and IC Compiler  – Best solution for congestion minimization

• Traditional congestion minimization flow – Iterations required between synthesis and place & route

• Design Compiler Graphical congestion minimization

 – Faster convergence with higher schedule predictability

• Summary

Agenda

D i C il G hi l

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 46/48

©Synopsys2009 46 IC Compiler 2009 Webinar Series

Design Compiler GraphicalDelivers Faster Turn around Time & Predictable Schedu le 

Tight correlation between synthesis and P&RShared technology 

Better starting point for layoutFaster convergence

Concurrent congestion optimizationTogether with timing, area, power and test 

Early visibility into routing congestion

 Assessing and fixing congestion in synthesis

 

D i C il G hi l + IC C il

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 47/48

©Synopsys2009 47 IC Compiler 2009 Webinar Series

Design Compiler Graphical + IC Compiler Best Solut ion fo r Congest ion Minim ization 

• Congestion can be a major

contributor to schedule

delays

• Traditional congestionminimization flow may have

convergence issues

• Design Compiler Graphical

addresses congestion duringsynthesis providing a better

starting point for IC Compiler

for faster closure

Design Compiler Graphical

IC Compiler 

8/10/2019 Faster Close Webinar

http://slidepdf.com/reader/full/faster-close-webinar 48/48

Predictable Success