20
Page 1 of 20 Altima Corporation Quartus II はじめてガイド - シミュレーション方法 ver. 9.1 2010 1 1. はじめに この資料は、Quartus ® II においてシミュレーションを行うための操作方法を紹介しています。Quartus II のシミュレーションは、 ファンクション・シミュレーションとタイミング・シミュレーションの 2 通りが行えます。その際に使用するシミュレーション用入力波 形ファイルの作成から、シミュレーション実行に至るまでの基本操作をご案内します。なお、ファンクション・シミュレーションとタ イミング・シミュレーションの用途の違いは下記のとおりです。 ファンクション・シミュレーション (機能検証) 回路の使用を確認 論理的に動作するかを検証 遅延なし タイミング・シミュレーション (タイミング検証) 実機上で動作するかを擬似的に確認 遅延あり

Quartus II はじめてガイド - シミュレーション方法 · PDF file⑧ Report ボタン (右下) をクリックし、ファンクション・シミュレーションの出力波形結果を確認します。

  • Upload
    vodat

  • View
    243

  • Download
    10

Embed Size (px)

Citation preview

Page 1: Quartus II はじめてガイド - シミュレーション方法 · PDF file⑧ Report ボタン (右下) をクリックし、ファンクション・シミュレーションの出力波形結果を確認します。

Page 1 of 20 Altima Corporation

- Quartus II はじめてガイド -

シミュレーション方法 ver. 9.1 2010 年 1 月

1. はじめに

この資料は、Quartus® II においてシミュレーションを行うための操作方法を紹介しています。Quartus II のシミュレーションは、

ファンクション・シミュレーションとタイミング・シミュレーションの 2 通りが行えます。その際に使用するシミュレーション用入力波

形ファイルの作成から、シミュレーション実行に至るまでの基本操作をご案内します。なお、ファンクション・シミュレーションとタ

イミング・シミュレーションの用途の違いは下記のとおりです。

ファンクション・シミュレーション (機能検証)

— 回路の使用を確認

— 論理的に動作するかを検証

— 遅延なし

タイミング・シミュレーション (タイミング検証)

— 実機上で動作するかを擬似的に確認

— 遅延あり

Page 2: Quartus II はじめてガイド - シミュレーション方法 · PDF file⑧ Report ボタン (右下) をクリックし、ファンクション・シミュレーションの出力波形結果を確認します。

Quartus II はじめてガイド - シミュレーション方法

ver. 9.1 2010 年 1 月 Page 2 of 20 Altima Corporation

【補足① : 言語シミュレータの使用を推奨】

Quartus II ver.9.1 では、シミュレーション作業を実行する際に以下のメッセージが表示されます。

このメッセージにもあるように、将来的に Quartus II ソフトウェアでは Quartus II シミュレータのサポートを終息する予定で

す。そのためアルテラでは、ModelSim®

のご利用をおすすめしております。

ModelSim -Altera

® でシミュレーションを行う方法は、FPGA/CPLD 関連アルティマ技術情報サイト “EDISON” で公開中の

弊社オリジナル資料 「ModelSim-Altera Edition の使い方」 をご参考ください。(http://www.altima.jp/edison/index.html)

Page 3: Quartus II はじめてガイド - シミュレーション方法 · PDF file⑧ Report ボタン (右下) をクリックし、ファンクション・シミュレーションの出力波形結果を確認します。

Quartus II はじめてガイド - シミュレーション方法

ver. 9.1 2010 年 1 月 Page 3 of 20 Altima Corporation

2. 入力波形ファイルの作成方法

2-1. 新規ファイル作成

① File メニュー ⇒ New または ボタンをクリック、または Tasks ウィンドウの Create New Design File をダブルクリ

ックします。

または

② New ダイアログ・ボックス 内の Verification/Debugging Files より、Vector Waveform File を選択します。 OK ボタンをク

リックすると、Waveform エディタ (入力波形ファイル) が表示されます。

③ シミュレーション・ファイルの終了時間幅を設定します。

1. Edit メニュー ⇒ End Time を選択します。

2. Time 欄に終了時間、単位を指定します。

3. OK ボタンをクリックします。

Page 4: Quartus II はじめてガイド - シミュレーション方法 · PDF file⑧ Report ボタン (右下) をクリックし、ファンクション・シミュレーションの出力波形結果を確認します。

Quartus II はじめてガイド - シミュレーション方法

ver. 9.1 2010 年 1 月 Page 4 of 20 Altima Corporation

④ シミュレーション用のノード (信号) を選択します。

1. Edit メニュー ⇒ Insert Node or Bus、または入力波形ファイル内の Name 欄で右クリックし Insert ⇒ Insert

Node or Bus を選択します。入力波形ファイル内の Name 欄をダブルクリックすることでも可能です。

2. Insert Node or Bus ダイアログ・ボックスにて、Node Finder ボタンをクリックします。Node Finder ウィンドウが表示

されます。

3. Filter 欄のプルダウン・リストより、検索するノードの条件を選択します。

【補足② : Filter のカテゴリ】

• Pins: assigned ピン・アサインされているピン

• Pins: unassigned ピン・アサインされていないピン

• Pins: input 入力ピン

• Pins: output 出力ピン

• Pins: bidirectional 双方向ピン

• Pins: all 全てのピン

• Pins: virtual Virtual pin にアサインされているピン

• Pins: all & Registers : post-fitting 全てのピンとフィッティング後のレジスタ

• Registers: pre-synthesis 論理合成する前のレジスタ

• Registers: post-fitting フィッティング後のレジスタ

Page 5: Quartus II はじめてガイド - シミュレーション方法 · PDF file⑧ Report ボタン (右下) をクリックし、ファンクション・シミュレーションの出力波形結果を確認します。

Quartus II はじめてガイド - シミュレーション方法

ver. 9.1 2010 年 1 月 Page 5 of 20 Altima Corporation

• Design Entry (all names) 全てのノード

• Post-Synthesis 論理合成後のピン・レジスタ組み合わせ回路ノード

• Post-Compilation 配置配線後のピン・レジスタ組み合わせ回路ノード

• SignalTap II: pre-synthesis SignalTap® II で解析可能な論理合成前のピン・レジスタ・組み合わせノード

• SignalTap II: post-fitting SignalTap II で解析可能な配置配線後のピン・レジスタ・組み合わせノード

• SignalProbe SignalProbe で解析可能なピン・レジスタ・組み合わせノード

4. List ボタンをクリックすると、Nodes Found の枠内に、条件に合ったノードの一覧が表示され、波形ファイルに追加

したいノードを選択し、中央の ボタン(または、ノード自身をダブルクリック)で Selected Nodes へ移行させ

ます。

5. OK ボタンをクリックします。続けて Insert Node or Bus ウィンドウ内の OK ボタンをクリックします。

⑤ 波形ファイル内の Name 欄に指定したノードが追加されます。

Page 6: Quartus II はじめてガイド - シミュレーション方法 · PDF file⑧ Report ボタン (右下) をクリックし、ファンクション・シミュレーションの出力波形結果を確認します。

Quartus II はじめてガイド - シミュレーション方法

ver. 9.1 2010 年 1 月 Page 6 of 20 Altima Corporation

2-2. 値の入力

登録された入力ノードに、値を入力します。値の入力方法は、Waveform のツールバー (左側) にあるアイコンを選択する、

または値を入力したいノードを選択し 右クリック ⇒ Value を選択します。

Detach window ボタン (Waveform エディタ・ウィンドウをメイン・ウィンドウから外す)

ポインタ テキスト入力

波形編集 ズーム

フルスクリーン

検索 置換

定義 (U) 不定値

Low (0) High (1)

ハイインピーダンス 弱い不定

弱い Low 弱い High

Don’t Care 反転

カウント値 クロック

任意の値 ランダム値

Snap to Grid ノードの並び替え

2-2-1. 基本入力

入力波形ファイルに登録したノードに値を入力するための基本操作は、以下のとおりです。

1. 値を入力したい時間帯をマウスの左クリックにてドラッグ & ドロップし、選択します。

2. 選択した部分 (青くハイライト表示 ) に、入力したい信号レベル (‘0’ や ‘1’ や ‘Z’ など) を選択し、値を入力します。

あるノードに対して、特定の時間帯ではなく全時間帯に値を入力する場合は、Waveform 内の Name 欄でノード名を選択し

て、1 ラインをハイライトさせてください。

その他、入力方法の例を次頁にいくつか示しますので、そのノードに適した入力方法で入力してください。

Page 7: Quartus II はじめてガイド - シミュレーション方法 · PDF file⑧ Report ボタン (右下) をクリックし、ファンクション・シミュレーションの出力波形結果を確認します。

Quartus II はじめてガイド - シミュレーション方法

ver. 9.1 2010 年 1 月 Page 7 of 20 Altima Corporation

2-2-2. クロック波形入力

クロック信号や、周期的に繰り返すような信号などに適した入力方法です。

① Edit メニュー ⇒ Value ⇒ Clock (または ボタン) を選択します。

② Time period で、入力したい波形の周期を設定します。

③ OK ボタンをクリックすると、波形ファイル内の指定したノードにクロック波形が入力されます。

クロック周期の開始時間

クロック周期の終了時間

周期

位相

Duty

Page 8: Quartus II はじめてガイド - シミュレーション方法 · PDF file⑧ Report ボタン (右下) をクリックし、ファンクション・シミュレーションの出力波形結果を確認します。

Quartus II はじめてガイド - シミュレーション方法

ver. 9.1 2010 年 1 月 Page 8 of 20 Altima Corporation

2-2-3. カウント・データ入力

ある一定の周期を持ち、ある一定の増加量でカウントアップ (またはカウントダウン) していく信号に適した入力方法です。

① Edit メニュー ⇒ Value ⇒ Count Value (または ボタン) を選択します。

② Counting タブにて、Radix、初期値、増加量、カウントタイプを設定します。

③ Timing タブにてカウントする開始時間と終了時間、カウント周期を設定します。

④ OK ボタンをクリックします。波形ファイル内の指定したノードにカウント・データが入力されます。

Radix

初期値

増加量 カウントタイプ

開始時間

終了時間

カウント周期

Page 9: Quartus II はじめてガイド - シミュレーション方法 · PDF file⑧ Report ボタン (右下) をクリックし、ファンクション・シミュレーションの出力波形結果を確認します。

Quartus II はじめてガイド - シミュレーション方法

ver. 9.1 2010 年 1 月 Page 9 of 20 Altima Corporation

2-2-4. 波形のコピー

ある一部の時間枠の波形をコピーし、指定する時間帯に貼り付け (ペースト) するなどに適した入力方法です。

① 波形ファイル内のコピーしたい信号の時間帯をドラッグ & ドロップで選択し、ハイライトさせます。(青く表示)

② ハイライトした領域上で、マウスを右クリックし、プルダウン・メニューから、Copy を選択します。

③ コピーした波形を貼り付けたいノードとその時間帯をドラッグ & ドロップで選択し、ハイライトさせます。

④ ハイライトした領域上でマウスを右クリックし、プルダウン・メニューから、Paste ⇒ Paste を選択します。

連続して波形を貼り付けたい場合は、Repeat Paste を選択し、繰り返す回数を入力して OK ボタンをクリックして下さい。

2-3. 波形ファイルの保存

各ノードへ波形を入力し終えたら、波形ファイル (.vwf) を保存します。

File メニュー ⇒ Save As を選択し、保存するディレクトリを指定しファイル名 (任意) をつけます。

Page 10: Quartus II はじめてガイド - シミュレーション方法 · PDF file⑧ Report ボタン (右下) をクリックし、ファンクション・シミュレーションの出力波形結果を確認します。

Quartus II はじめてガイド - シミュレーション方法

ver. 9.1 2010 年 1 月 Page 10 of 20 Altima Corporation

3. ファンクション・シミュレーションの実行

ファンクション・シミュレーションを実行する方法は、以下の 2 通りあります。

・ Simulator Tool

・ Tasks ウィンドウ

いずれかの操作方法を選択し、シミュレーションを実行してください。

3-1. Simulator Tool による実行

① シミュレータを起動します。

Processing メニュー ⇒ Simulator Tool、または ボタンをクリックします。

② Simulation mode において、Functional を選択します。

③ Simulation input において、使用するシミュレーション用の入力波形ファイルを選択します。

④ Simulation Period において、実行するシミュレーションの時間を設定します。

⑤ 必要に応じて、ウィンドウ内のオプションを設定します。

⑥ Generate Functional Simulation Netlist ボタンをクリックし、ファンクション・シミュレーション用のネット・リストを作成しま

す。(“Functional simulation netlist generation was successful” のメッセージが表示されます。)

⑦ Start ボタン (左下) をクリックし、ファンクション・シミュレーションを実行します。

シミュレーションが終了すると、“Simulator was successful” のメッセージが表示されます。

⑧ Report ボタン (右下) をクリックし、ファンクション・シミュレーションの出力波形結果を確認します。

Overwrite simulation input file with simulation results オプションにチェックを入れた場合は、Open ボタンをクリックして

も、出力結果を確認できます。

ブラウズボタン

出力ピンを自動検

出して VWF ファ

イルに表示

期待値照合

シミュレーション

結 果 を 入 力 波

形ファイルへ上

書きする

シミュレーション終了時間の設定

・入力波形ファイルの 後まで ・時間を指定

Page 11: Quartus II はじめてガイド - シミュレーション方法 · PDF file⑧ Report ボタン (右下) をクリックし、ファンクション・シミュレーションの出力波形結果を確認します。

Quartus II はじめてガイド - シミュレーション方法

ver. 9.1 2010 年 1 月 Page 11 of 20 Altima Corporation

3-2. Tasks ウィンドウによる実行

① Tasks ウィンドウ ⇒ Verify Design ⇒ Simulate Design ⇒ Quartus II Simulator ⇒ Edit Settings をダブルクリックし、

Settings ダイアログ・ボックスを起動します。Simulation mode において、Functional を選択します。

② Simulation input において、使用するシミュレーション用の入力波形ファイルを選択します。

③ Simulation Period において、実行するシミュレーションの時間を設定します。

④ Simulation Verification、Simulation Output files (Settings ダイアログ・ボックスの Category 内) の各オプションは、

必要に応じて設定してください。(各オプションは前頁 3-1 をご参考ください。)

⑤ Tasks ウィンドウ ⇒ Verify Design ⇒ Simulate Design ⇒ Quartus II Simulator ⇒ Generate Functional Simulation

Netlist をダブルクリックし、ファンクション・シミュレーション用のネット・リストを作成します。(“Functional simulation

netlist generation was successful” のメッセージが表示されます。)

⑥ Tasks ウィンドウ ⇒ Verify Design ⇒ Simulate Design ⇒ Quartus II Simulator (Functional) をクリックし、ファンクシ

ョン・シミュレーションを実行します。

⑦ シミュレーションが終了すると “Simulator was successful” のメッセージが表示され、自動的にレポート・ファイルが表

示されます。レポート内の Simulation Waveforms より出力波形結果を確認します。

※ ファンクション・シミュレーション用のネット・リストが生成されていない場合、Tasks ウィンドウで実行した場合のみ

Generate Functional Simulation Netlist が自動的に開始されます。

Page 12: Quartus II はじめてガイド - シミュレーション方法 · PDF file⑧ Report ボタン (右下) をクリックし、ファンクション・シミュレーションの出力波形結果を確認します。

Quartus II はじめてガイド - シミュレーション方法

ver. 9.1 2010 年 1 月 Page 12 of 20 Altima Corporation

4. タイミング・シミュレーションの実行

※ タイミング・シミュレーションを実行する場合には、実行前にコンパイルが完了していなければなりません。

タイミング・シミュレーションを実行する方法は、以下の 2 通りがあります。

・ Simulator Tool

・ Tasks ウィンドウ

いずれかの操作方法を選択し、シミュレーションを実行してください。

4-1. Simulator Tool による実行

① シミュレータを起動します。

Processing メニュー ⇒ Simulator Tool または ボタンをクリックします。

② Simulation mode において、Timing を選択します。

③ Simulation input において、使用するシミュレーション用の入力波形ファイルを選択します。

④ 必要に応じて、Simulation options 内のオプションを設定します。

⑤ Start ボタン (左下) をクリックし、タイミング・シミュレーションを実行します。

シミュレーションが終了すると、“Simulator was successful” のメッセージが表示されます。

⑥ Report ボタン (右下) をクリックし、タイミング・シミュレーションの出力波形結果を確認します。

Overwrite simulation input file with simulation results オプションにチェックを入れた場合は、Open ボタンをクリックして

も、出力結果を確認できます。

ブラウズボタン

セットアップ / ホー

ルドタイムの違反を

検出

グリッチの検出

Page 13: Quartus II はじめてガイド - シミュレーション方法 · PDF file⑧ Report ボタン (右下) をクリックし、ファンクション・シミュレーションの出力波形結果を確認します。

Quartus II はじめてガイド - シミュレーション方法

ver. 9.1 2010 年 1 月 Page 13 of 20 Altima Corporation

4-2. Tasks ウィンドウによる実行

① Tasks ウィンドウ ⇒ Verify Design ⇒ Simulate Design ⇒ Quartus II Simulator ⇒ Edit Settings をダブルクリックし、

Settings ダイアログ・ボックスを起動します。Simulation mode において、Timing を選択します。

② Simulation input において、使用するシミュレーション用の入力波形ファイルを選択します。

③ Simulation Verification、Simulation Output files (Settings ダイアログ・ボックスの Category 内) の各オプションは、

必要に応じて設定してください。(各オプションは前頁 4-1 をご参考ください。)

④ Tasks ウィンドウ ⇒ Verify Design ⇒ Simulate Design ⇒ Quartus II Simulator (Timing) をダブルクリックし、タイミン

グ・シミュレーションを実行します。

⑤ シミュレーションが終了すると “Simulator was successful” のメッセージが表示され、自動的にレポート・ファイルが表

示されます。レポート内の Simulation Waveforms より出力波形結果を確認します。

※ タイミング・シミュレーション実行の際にコンパイルが完了していない場合には、Tasks ウィンドウで実行した場合

のみコンパイルが自動的に開始されます。

Page 14: Quartus II はじめてガイド - シミュレーション方法 · PDF file⑧ Report ボタン (右下) をクリックし、ファンクション・シミュレーションの出力波形結果を確認します。

Quartus II はじめてガイド - シミュレーション方法

ver. 9.1 2010 年 1 月 Page 14 of 20 Altima Corporation

5. タイミング・シミュレーション (Fast Timing Model) の実行

※ Fast Timing Model とは、ターゲット・デバイスにおける も速い内部遅延状況のモデル (遅延値) のことです。

※ Fast Timing Model を使用する場合は、実行前にコンパイルおよび Fast Timing Model を使用したタイミング検証が完

了していなければなりません。

Fast Timing Model を使用したタイミング検証は、以下から実行します。

・ Classic Timing Analyzer でタイミング検証を実行している場合

— Processing メニュー ⇒ Start ⇒ Start Classic Timing Analyzer (Fast Timing Model) を選択、実行します。

・ TimeQuest Timing Analyzer でタイミング検証を実行している場合

以下の条件が満足されているかを確認してください。

— Assignments メニュー ⇒ Timing Analysis Settings ⇒ TimeQuest Timing Analyzer ⇒ Enable multicorner

timing analysis during compilation オプション = ON

— 上記オプションが有効な状態でコンパイルが完了している。

もし、Enable multicorner timing … オプションが OFF、かつコンパイルが完了している場合には、オプションを有効

(ON) にし、以下の Fast Timing Mode 用のタイミング検証を実行してください。

— Processing メニュー ⇒ Start ⇒ Start Early Timing Estimate

ファンクション・シミュレーションを実行する方法は、以下の 2 通りあります。

・ Simulator Tool

・ Tasks ウィンドウ

いずれかの操作方法を選択し、シミュレーションを実行してください。

Page 15: Quartus II はじめてガイド - シミュレーション方法 · PDF file⑧ Report ボタン (右下) をクリックし、ファンクション・シミュレーションの出力波形結果を確認します。

Quartus II はじめてガイド - シミュレーション方法

ver. 9.1 2010 年 1 月 Page 15 of 20 Altima Corporation

5-1. Simulator Tool による実行

① シミュレータを起動します。

Processing メニュー ⇒ Simulator Tool または ボタンをクリックします。

② Simulation mode において、Timing using Fast Timing Model を選択します。

③ Simulation input において、使用するシミュレーション用の入力波形ファイルを選択します。

④ 必要に応じて、Simulation options 内のオプションを設定します。(各オプションは前頁 4-1 をご参考ください。)

⑤ Start ボタン (左下) をクリックし、タイミング・シミュレーションを実行します。

シミュレーションが終了すると、“Simulator was successful” のメッセージが表示されます。

⑥ Report ボタン (右下) をクリックし、タイミング・シミュレーションの出力波形結果を確認します。

Overwrite simulation input file with simulation results オプションにチェックを入れた場合は、Open ボタンをクリックして

も、出力結果を確認できます。

ブラウズボタン

Page 16: Quartus II はじめてガイド - シミュレーション方法 · PDF file⑧ Report ボタン (右下) をクリックし、ファンクション・シミュレーションの出力波形結果を確認します。

Quartus II はじめてガイド - シミュレーション方法

ver. 9.1 2010 年 1 月 Page 16 of 20 Altima Corporation

5-2. Tasks ウィンドウによる実行

① Tasks ウィンドウ ⇒ Verify Design ⇒ Simulate Design ⇒ Quartus II Simulator ⇒ Edit Settings をダブルクリックし、

Settings ダイアログ・ボックスを起動します。Simulation mode において、Timing using Fast Timing Model を選択しま

す。

② Simulation input において、使用するシミュレーション用の入力波形ファイルを選択します。(その他、各オプション設定

は 5-1 と同じです)。

③ Simulation Verification、Simulation Output files (Settings ダイアログ・ボックスの Category 内) の各オプションは、

必要に応じて設定してください。(各オプションは各頁をご参考ください。)

④ Tasks ウィンドウ ⇒ Verify Design ⇒ Simulate Design ⇒ Quartus II Simulator (Fast Timing) をダブルクリックし、タ

イミング・シミュレーションを実行します。シミュレーションが終了すると、“Simulator was successful” のメッセージが表

示され、自動的にレポート・ファイルが表示されます。レポート内の Simulation Waveforms より出力波形結果を確認し

ます。

※ タイミング・シミュレーション実行の際にコンパイルが完了していない場合には、Tasks ウィンドウで実行した場合

のみコンパイルが自動的に開始されます。

Page 17: Quartus II はじめてガイド - シミュレーション方法 · PDF file⑧ Report ボタン (右下) をクリックし、ファンクション・シミュレーションの出力波形結果を確認します。

Quartus II はじめてガイド - シミュレーション方法

ver. 9.1 2010 年 1 月 Page 17 of 20 Altima Corporation

6. その他

6-1. シミュレーション実行結果の反映

Quartus II のシミュレーション用波形ファイルは、入力波形ファイルと出力波形ファイルとで別になっています。そのため、シミ

ュレーション実行後の出力波形結果を、入力波形ファイルへ反映させる場合には以下の方法で行います。

• シミュレーション・レポートを表示した状態で、Processing メニュー ⇒ Simulation Debug ⇒ Overwrite Vector Inputs with

Simulation Outputs を選択します。

• または、シミュレーションのオプションにおいて、 Overwrite simulation input file with simulation results オプションにチェッ

クを入れ、その後シミュレーションを実行します。

【シミュレーション前】

【シミュレーション後】

Page 18: Quartus II はじめてガイド - シミュレーション方法 · PDF file⑧ Report ボタン (右下) をクリックし、ファンクション・シミュレーションの出力波形結果を確認します。

Quartus II はじめてガイド - シミュレーション方法

ver. 9.1 2010 年 1 月 Page 18 of 20 Altima Corporation

6-2. Time Bar

① 波形ファイルに Time Bar を設けて指定軸間の時間差を表示させます。

② Edit メニュー ⇒ Time Bar ⇒ Time Bar Organizer を選択します。

③ Time Bar Organizer ウィンドウ内の Time bar にて追加したい時間を指定し、Add ボタンをクリックし OK ボタンをクリ

ックします。

④ 波形ファイルに Time Bar が追加されます。

6-3. 双方向ピンのシミュレーション

双方向ピンをシミュレーションする場合は、以下のように表示されます。

・ 入力側 : “I/O ピン名” (例 : io_data)

・ 出力側 : “I/O ピン名~result” (例 : io_data~result)

MasterRelative

Page 19: Quartus II はじめてガイド - シミュレーション方法 · PDF file⑧ Report ボタン (右下) をクリックし、ファンクション・シミュレーションの出力波形結果を確認します。

Quartus II はじめてガイド - シミュレーション方法

ver. 9.1 2010 年 1 月 Page 19 of 20 Altima Corporation

6-4. 波形ファイルの HDL ファイル変換機能

① HDL (VHDL または Verilog HDL) に変換したい波形ファイルを開き、File メニュー ⇒ Export を選択します。

② 変換したい言語の種類を選択し、Export ボタンをクリックしてください。

※ Quartus II のシミュレータでは、HDL 入力ファイル (テストベンチ・ファイル) は使用できませんのでご注意くださ

い。

6-5. MAX+PLUS® II 波形ファイルの登録

Quartus II 4.0 以降では、MAX+PLUS II で使用していたシミュレーション用ファイル (*.scf) がそのままの形式で使用できま

す。

Tools メニュー ⇒ Simulator Tool を選択し、Simulation input にて目的の .scf ファイルを指定してください。

Page 20: Quartus II はじめてガイド - シミュレーション方法 · PDF file⑧ Report ボタン (右下) をクリックし、ファンクション・シミュレーションの出力波形結果を確認します。

Quartus II はじめてガイド - シミュレーション方法

ver. 9.1 2010 年 1 月 Page 20 of 20 Altima Corporation

横浜本社 〒222-8563 横浜市港区新横浜 1-5-5 マクニカ第二ビル TEL 045-476-2155 FAX 045-476-2156

大阪営業所 〒532-0003 大阪市淀川区宮原 3-4-30 ニッセイ新大阪ビル 17 階 TEL 06-6397-1053 FAX 06-6397-1054

名古屋営業所 〒460-0003 名古屋市中区錦 1-6-5 名古屋錦シティビル 7 階 TEL 052-202-1024 FAX 052-202-1025

宇都宮営業所 〒321-0953 宇都宮市東宿郷 4-2-24 センターズビル 7 階 TEL 028-637-4488 FAX 028-637-4489

弊社より資料を入手されましたお客様におかれましては、下記の使用上の注意を一読いただいた上でご使用ください。

1. 本資料は非売品です。許可無く転売することや無断複製することを禁じます。

2. 本資料は予告なく変更することがあります。

3. 本資料の作成には万全を期していますが、万一ご不明な点や誤り、記載漏れなどお気づきの点がありましたら、弊社までご一報いただければ幸いです。

4. 本資料で取り扱っている回路、技術、プログラムに関して運用した結果の影響については、責任を負いかねますのであらかじめご了承ください。

5. 本資料は製品を利用する際の補助的な資料です。製品をご使用になる場合は、英語版の資料もあわせてご利用ください。