8
Subject Index of Volume 504 Issues 1–2 Activation energy On the mobility, turn-on characteristics and activation energy of polycrystalline silicon thin-film transistors, 59 Electromigration Cu mass flow in Cu interconnections, 274 Adhesion Effect of porosity and adhesion promoter layer on adhesion energy of nanoporous inorganic low-j, 213 Adhesion improvement of EMC–leadframe interface using brown oxide promoters, 397 Advanced annealing Enhancement of minority-carrier lifetime by an advanced high temperature annealing method, 129 Alloying Enhanced morphological stability of NiGe films formed using Ni(Zr) alloy, 104 Alloys Effect of Ti alloying in nickel silicide formation, 153 Alternating current Thermal fatigue as a possible failure mechanism in copper intercon- nects, 321 Ambient storage Behavior of tin whisker formation and growth on lead-free solder finish, 350 Amorphization Effects of Si(001) surface amorphization on ErSi 2 thin film, 157 Amorphous barium titanate Electrical properties of low temperature deposited amorphous barium titanate thin films as dielectrics for integrated capacitors, 201 Amorphous Si Thermal effects on LPCVD amorphous silicon, 145 Annealing The effect of annealing on the morphologies and conductivities of sub- micrometer sized nickel particles used for electrically conductive adhesive, 416 Annealing effects Microstructure-dependent band structure of HfO 2 thin films, 197 Atomic transport Effect of interface modification on EM-induced degradation mecha- nisms in copper interconnects, 279 Au Interfacial microstructures and kinetics of Au/SnAgCu, 441 Ballbonding Effects of Ca on grain boundary cohesion in Au ballbonding wire, 346 Band structure Microstructure-dependent band structure of HfO 2 thin films, 197 Barium titanate (BTO) Chemical mechanical polishing of BTO thin film for vertical sidewall patterning of high-density memory capacitor, 261 Barrier Formation and characterization of Ti–Si–N–O barrier films, 218 Barrier layer Effect of plasma process on low-k material and barrier layer perform- ance, 248 Barrier lowering Current transport and high-field reliability of aluminum/hafnium oxide/ silicon structure, 312 BCB Etching control of benzocyclobutene in CF 4 /O 2 and SF 6 /O 2 plasmas with thick photoresist and titanium masks, 252 Bias temperature stress Dielectric barriers, pore sealing, and metallization, 239 Bias temperature stressing Formation and characterization of Ti–Si–N–O barrier films, 218 Bulk and surface micromachining integrated process A novel electrostatic microactuator for large deflections in MEMS applications, 64 Buried channel waveguide Fabrication of buried hybrid sol–gel optical waveguides by femto- second laser direct writing, 336 C-AFM Study of pinholes in ultrathin SiO 2 by C-AFM technique, 11 Carbon doped oxide The influence of temperature and dielectric materials on stress induced voiding in Cu dual damascene interconnects, 161 Carbon nanotube Carbon nanotubes growing on rapid thermal annealed Ni and their application to a triode-type field emission device, 50 Channel doping density Parameter extraction using novel phenomena in nano-MOSFETs with ultra-thin (EOT = 0.46–1.93 nm) high-K gate dielectrics, 178 Charge pumping Fowler–Nordheim and hot carrier reliabilities of U-shaped trench-gated transistors studied by three terminal charge pumping, 302 Charge trapping Current transport and high-field reliability of aluminum/hafnium oxide/ silicon structure, 312 Charging An electrical study of behaviors of Si nanocrystals distributed in the gate oxide near the oxide/substrate interface of a MOS structure, 32 Chemical mechanical polishing (CMP) Chemical mechanical polishing (CMP) mechanisms of thermal SiO 2 film after high-temperature pad conditioning, 166 Chemical mechanical polishing of BTO thin film for vertical sidewall patterning of high-density memory capacitor, 261 doi:10.1016/S0040-6090(06)00322-1 Thin Solid Films 504 (2006) 448 – 455 www.elsevier.com/locate/tsf

Subject Index of Volume 504 Issues 1–2

  • View
    212

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Subject Index of Volume 504 Issues 1–2

www.elsevier.com/locate/tsf

Subject Index of Volume 504 Issues 1–2

Activation energy

On the mobility, turn-on characteristics and activation energy of

polycrystalline silicon thin-film transistors, 59

Electromigration Cu mass flow in Cu interconnections, 274

Adhesion

Effect of porosity and adhesion promoter layer on adhesion energy of

nanoporous inorganic low-j, 213Adhesion improvement of EMC–leadframe interface using brown oxide

promoters, 397

Advanced annealing

Enhancement of minority-carrier lifetime by an advanced high

temperature annealing method, 129

Alloying

Enhanced morphological stability of NiGe films formed using Ni(Zr)

alloy, 104

Alloys

Effect of Ti alloying in nickel silicide formation, 153

Alternating current

Thermal fatigue as a possible failure mechanism in copper intercon-

nects, 321

Ambient storage

Behavior of tin whisker formation and growth on lead-free solder finish,

350

Amorphization

Effects of Si(001) surface amorphization on ErSi2 thin film, 157

Amorphous barium titanate

Electrical properties of low temperature deposited amorphous barium

titanate thin films as dielectrics for integrated capacitors, 201

Amorphous Si

Thermal effects on LPCVD amorphous silicon, 145

Annealing

The effect of annealing on the morphologies and conductivities of sub-

micrometer sized nickel particles used for electrically conductive

adhesive, 416

Annealing effects

Microstructure-dependent band structure of HfO2 thin films, 197

Atomic transport

Effect of interface modification on EM-induced degradation mecha-

nisms in copper interconnects, 279

Au

Interfacial microstructures and kinetics of Au/SnAgCu, 441

Ballbonding

Effects of Ca on grain boundary cohesion in Au ballbonding wire, 346

Band structure

Microstructure-dependent band structure of HfO2 thin films, 197

Barium titanate (BTO)

Chemical mechanical polishing of BTO thin film for vertical sidewall

patterning of high-density memory capacitor, 261

doi:10.1016/S0040-6090(06)00322-1

Barrier

Formation and characterization of Ti–Si–N–O barrier films, 218

Barrier layer

Effect of plasma process on low-k material and barrier layer perform-

ance, 248

Barrier lowering

Current transport and high-field reliability of aluminum/hafnium oxide/

silicon structure, 312

BCB

Etching control of benzocyclobutene in CF4 /O2 and SF6 /O2 plasmas

with thick photoresist and titanium masks, 252

Bias temperature stress

Dielectric barriers, pore sealing, and metallization, 239

Bias temperature stressing

Formation and characterization of Ti–Si–N–O barrier films, 218

Bulk and surface micromachining integrated process

A novel electrostatic microactuator for large deflections in MEMS

applications, 64

Buried channel waveguide

Fabrication of buried hybrid sol–gel optical waveguides by femto-

second laser direct writing, 336

C-AFM

Study of pinholes in ultrathin SiO2 by C-AFM technique, 11

Carbon doped oxide

The influence of temperature and dielectric materials on stress induced

voiding in Cu dual damascene interconnects, 161

Carbon nanotube

Carbon nanotubes growing on rapid thermal annealed Ni and their

application to a triode-type field emission device, 50

Channel doping density

Parameter extraction using novel phenomena in nano-MOSFETs

with ultra-thin (EOT=0.46–1.93 nm) high-K gate dielectrics,

178

Charge pumping

Fowler–Nordheim and hot carrier reliabilities of U-shaped trench-gated

transistors studied by three terminal charge pumping, 302

Charge trapping

Current transport and high-field reliability of aluminum/hafnium oxide/

silicon structure, 312

Charging

An electrical study of behaviors of Si nanocrystals distributed in the

gate oxide near the oxide/substrate interface of a MOS structure,

32

Chemical mechanical polishing (CMP)

Chemical mechanical polishing (CMP) mechanisms of thermal SiO2

film after high-temperature pad conditioning, 166

Chemical mechanical polishing of BTO thin film for vertical sidewall

patterning of high-density memory capacitor, 261

Thin Solid Films 504 (2006) 448–455

Page 2: Subject Index of Volume 504 Issues 1–2

Subject Index of Volume 504 Issues 1–2 449

Chemical vapor deposition processes

A study of Si/SiGe selective epitaxial growth by experimental design

approach, 95

Chemically amplified resist SU-8

Characterization of chemically amplified resist for X-ray lithography by

Fourier transform infrared spectroscopy, 113

Chemical–mechanical polishing

Characterization of copper chemical mechanical polishing (CMP) in

nitric acid–hydrazine based slurry for microelectronic fabrication,

227

CMOSFET

Integration issues of high-k and metal gate into conventional CMOS

technology, 170

Coating

Adhesion improvement of EMC–leadframe interface using brown oxide

promoters, 397

Composite

Influence of ceramic reinforcements on the wettability and mechanical

properties of novel lead-free solder composites, 401

Continuum model

High frequency characterization and continuum modeling of ultrathin

high-k (ZrO2) gate dielectrics on strained-Si, 183

Copper

Characterization of copper chemical mechanical polishing (CMP) in

nitric acid–hydrazine based slurry for microelectronic fabrication,

227

Copper interconnect

The properties of Ru on Ta-based barriers, 231

Effect of interface modification on EM-induced degradation mecha-

nisms in copper interconnects, 279

Copper oxide

Effects of hollow cathode and Ar/H2 ratio on plasma cleaning of Cu

leadframe, 101

Corrosion

Electrochemical migration characteristics of eutectic SnPb solder alloy

in printed circuit board, 294

Critical temperature

Temperature and pressure dependence in thermocompression gold stud

bonding, 379

Crystallization

Thermal effects on LPCVD amorphous silicon, 145

Cu diffusion

Effect of plasma process on low-k material and barrier layer perform-

ance, 248

Cu metallization

Ta/SiCN bilayer barrier for Cu–ultra low k integration, 235

Comparative investigation of TaN and SiCN barrier layer for Cu/ultra

low k integration, 265

Cu/low-k

Effect of plasma process on low-k material and barrier layer perform-

ance, 248

3D micromirror

A novel electrostatic microactuator for large deflections in MEMS

applications, 64

Damascene Cu/low-k

Effect of grain growth stress and stress gradient on stress-induced

voiding in damascene Cu/low-k interconnects for ULSI, 284

Defect-free zone

Enhancement of minority-carrier lifetime by an advanced high

temperature annealing method, 129

Defects

Effect of oxidation temperature on the quality and reliability of ultrathin

gate oxide, 126

Delamination

Adhesion improvement of EMC–leadframe interface using brown oxide

promoters, 397

Dielectric film

Material properties of interfacial silicate layer and its influence on the

electrical characteristics of MOS devices using hafnia as the gate

dielectric, 192

Dielectric properties

Electrical properties of low temperature deposited amorphous barium

titanate thin films as dielectrics for integrated capacitors, 201

Dielectric thin films

Microstructure-dependent band structure of HfO2 thin films, 197

Diffusion

Enhanced morphological stability of NiGe films formed using Ni(Zr)

alloy, 104

Electromigration Cu mass flow in Cu interconnections, 274

Diffusion barrier

The properties of Ru on Ta-based barriers, 231

Diffusion barriers

Dielectric barriers, pore sealing, and metallization, 239

Diffusion-limited kinetics

Continuum modeling of post-implantation damage and the effective

plus factor in crystalline silicon at room temperature, 269

Dipole ring magnetron

Integrated process of photoresist trimming and dielectric hard mask

etching for sub-50 nm gate patterning, 117

Discrete voids

Vapor pressure and voiding effects on thin film damage, 325

Dislocation engineered

Boron engineered dislocation loops for efficient room temperature

silicon light emitting diodes, 36

Displacement

Misalignment of the optical fibers in multi-channel V-grooves, 341

Dissolution

Liquid and solid state interfacial reactions of Sn–Ag–Cu and Sn–In–

Ag–Cu solders with Ni–P under bump metallization, 431

Dopant

Effects of Ca on grain boundary cohesion in Au ballbonding wire, 346

Doping

A study of Si/SiGe selective epitaxial growth by experimental design

approach, 95

Double gate MOSFETs

Study of gate leakage current in symmetric double gate MOSFETs with

high-j/stacked dielectrics, 317

DPS

Development of plasma etching process for sub-50 nm TaN gate, 140

Elastic modulus

Nano-indentation characterization of Ni–Cu–Sn IMC layer subject to

isothermal aging, 362

Electrical characterization

Electrical properties of low temperature deposited amorphous barium

titanate thin films as dielectrics for integrated capacitors, 201

Electrically conductive adhesives

The effect of annealing on the morphologies and conductivities of sub-

micrometer sized nickel particles used for electrically conductive

adhesive, 416

Electrochemical migration

Electrochemical migration characteristics of eutectic SnPb solder alloy

in printed circuit board, 294

Electroless nickel

Effect of Ni–P thickness on solid-state interfacial reactions between Sn–

3.5Ag solder and electroless Ni–P metallization on Cu substrate,

410

Page 3: Subject Index of Volume 504 Issues 1–2

Subject Index of Volume 504 Issues 1–2450

Electroluminescence

Boron engineered dislocation loops for efficient room temperature

silicon light emitting diodes, 36

Electromigration

Electromigration Cu mass flow in Cu interconnections, 274

Effect of interface modification on EM-induced degradation

mechanisms in copper interconnects, 279

Investigation of the effect of temperature and stress gradients on

accelerated EM test for Cu narrow interconnects, 288

Electron wind force

Investigation of the effect of temperature and stress gradients on

accelerated EM test for Cu narrow interconnects, 288

Electronic stopping

Molecular dynamics with phase-shift-based electronic stopping for

calibration of ion implantation profiles in crystalline silicon, 121

Electrostatic microactuator

A novel electrostatic microactuator for large deflections in MEMS

applications, 64

Embedded flash memory

Effect of Ti-rich TiN as a Co-salicide capping layer for 0.15 um

embedded flash memory devices and beyond, 20

End-of-range

Defect suppression of indium end-of-range during solid phase epitaxy

annealing using Si1�yCy in silicon, 132

Erbium

Growth of high quality Er–Ge films on Ge(001) substrates by

suppressing oxygen contamination during germanidation annealing,

81

Erbium silicide

Erbium silicidation on SiGe for advanced MOS application, 91

Effects of Si(001) surface amorphization on ErSi2 thin film, 157

Etch rate

Etching control of benzocyclobutene in CF4 /O2 and SF6 /O2 plasmas

with thick photoresist and titanium masks, 252

Etch schemes for Ge

Localized germanium-on-insulator patterns on Si by novel etching

scheme in CF4/O2 plasma, 77

Excimer laser annealing

Excimer Laser annealing for shallow junction formation in Si power

MOS devices, 2

Fatigue

Thermal fatigue as a possible failure mechanism in copper intercon-

nects, 321

Femtosecond laser-writing

Fabrication of buried hybrid sol–gel optical waveguides by femto-

second laser direct writing, 336

Fiber-adhesive joint

Misalignment of the optical fibers in multi-channel V-grooves, 341

Field emitter arrays

Carbon nanotubes growing on rapid thermal annealed Ni and their

application to a triode-type field emission device, 50

Finite element analysis

The influence of temperature and dielectric materials on stress induced

voiding in Cu dual damascene interconnects, 161

Effect of grain growth stress and stress gradient on stress-induced

voiding in damascene Cu/low-k interconnects for ULSI, 284

The effect of line width on stress-induced voiding in Cu dual damascene

interconnects, 298

Investigations of the test parameters and bump structures in the shear

test of flip chip solder bump, 405

Evaluation of solder joint reliability in flip chip package under thermal

shock test, 426

Flat-band voltage

Parameter extraction using novel phenomena in nano-MOSFETs with

ultra-thin (EOT=0.46–1.93 nm) high-K gate dielectrics, 178

Flip chip

Time–temperature transformation (TTT) cure diagram of a fast cure

non-conductive adhesive, 331

Investigations of the test parameters and bump structures in the shear

test of flip chip solder bump, 405

Evaluation of solder joint reliability in flip chip package under thermal

shock test, 426

Fluxless

Fluxless flip chip bonding with joint-in-via architecture, 436

Four-point bend

Effect of porosity and adhesion promoter layer on adhesion energy of

nanoporous inorganic low-j, 213Fowler–Nordheim stress

Fowler–Nordheim and hot carrier reliabilities of U-shaped trench-gated

transistors studied by three terminal charge pumping, 302

Gate dielectrics

Interface control in the laser MBE growth of hafnium oxide, 188

Gate leakage current

Study of gate leakage current in symmetric double gate MOSFETs with

high-j/stacked dielectrics, 317

Gate-first

Integration issues of high-k and metal gate into conventional CMOS

technology, 170

Gate-Induced Drain Leakage (GIDL)

Effect of Ti-rich TiN as a Co-salicide capping layer for 0.15 um

embedded flash memory devices and beyond, 20

Gelation

Time–temperature transformation (TTT) cure diagram of a fast cure

non-conductive adhesive, 331

Ge-rich SiGe

Determination of the valence band offset and minority carrier lifetime in

Ge-rich layers on relaxed-SiGe, 73

Germanide

Metal-germanide Schottky Source/Drain transistor on Germanium

substrate for future CMOS technology, 28

Germanium

Growth of high quality Er–Ge films on Ge(001) substrates by

suppressing oxygen contamination during germanidation annealing,

81

Enhanced morphological stability of NiGe films formed using Ni(Zr)

alloy, 104

Germanium etching

Localized germanium-on-insulator patterns on Si by novel etching

scheme in CF4/O2 plasma, 77

Germanium (Ge)

Metal-germanide Schottky Source/Drain transistor on Germanium

substrate for future CMOS technology, 28

Germanium silicon alloys

A study of Si/SiGe selective epitaxial growth by experimental design

approach, 95

GOI

Ge diffusion and solid phase epitaxy growth to form Si1�xGex/Si and

Ge on insulator structure, 69

Grain boundary

Grain boundary structures of atomic layer deposited TiN, 108

Grain boundary cohesion

Effects of Ca on grain boundary cohesion in Au ballbonding wire, 346

Hafnium oxide

Interface control in the laser MBE growth of hafnium oxide, 188

Material properties of interfacial silicate layer and its influence on the

electrical characteristics of MOS devices using hafnia as the gate

dielectric, 192

Current transport and high-field reliability of aluminum/hafnium oxide/

silicon structure, 312

Page 4: Subject Index of Volume 504 Issues 1–2

Subject Index of Volume 504 Issues 1–2 451

Hard mask

Integrated process of photoresist trimming and dielectric hard mask

etching for sub-50 nm gate patterning, 117

Heterointegration

Passive and heterogeneous integration towards a Si-based System-in-

Package concept, 391

HfAlO

Development of plasma etching process for sub-50 nm TaN gate,

140

HfO2

Integration issues of high-k and metal gate into conventional CMOS

technology, 170

High nSimulation of trapping properties of high n material as the charge

storage layer for flash memory application, 209

High temperature storage

Numerical and experimental correlation of high temperature reliability

of gold wire bonding to intermetallics (Au/Al) uniformity, 355

High voltage transistors

Effect of Ti-rich TiN as a Co-salicide capping layer for 0.15 um

embedded flash memory devices and beyond, 20

High-k

Integration issues of high-k and metal gate into conventional CMOS

technology, 170

High-k dielectric

Characterization and reliability measurement issues in devices with

novel gate stack devices, 223

High-j dielectric

Study of gate leakage current in symmetric double gate MOSFETs with

high-j/stacked dielectrics, 317

High-k dielectric thin films

High-thermal-stability (HfO2)1�x(Al2O3)x film fabricated by dual-beam

laser ablation, 45

High-k gate dielectric

High frequency characterization and continuum modeling of ultrathin

high-k (ZrO2) gate dielectrics on strained-Si, 183

High-K gate dielectrics

Parameter extraction using novel phenomena in nano-MOSFETs with

ultra-thin (EOT=0.46–1.93 nm) high-K gate dielectrics, 178

Hollow cathode

Effects of hollow cathode and Ar/H2 ratio on plasma cleaning of Cu

leadframe, 101

Hot carrier stress

Fowler–Nordheim and hot carrier reliabilities of U-shaped trench-gated

transistors studied by three terminal charge pumping, 302

Hybrid sol–gel

Fabrication of buried hybrid sol–gel optical waveguides by femto-

second laser direct writing, 336

Hydration

Effects of the wet air on the properties of the lanthanum oxide and

lanthanum aluminate thin films, 205

Hydrazine

Characterization of copper chemical mechanical polishing (CMP) in

nitric acid–hydrazine based slurry for microelectronic fabrication,

227

Hydro-carbonated state

Chemical mechanical polishing (CMP) mechanisms of thermal SiO2

film after high-temperature pad conditioning, 166

Hydrostatic stress

Effect of grain growth stress and stress gradient on stress-induced

voiding in damascene Cu/low-k interconnects for ULSI, 284

IE assisted ELID

Performance evaluation of a newly developed electrolytic system for

stable thinning of silicon wafers, 15

Indium

Defect suppression of indium end-of-range during solid phase epitaxy

annealing using Si1�yCy in silicon, 132

Insulator trap

Stressing effects on the charge trapping of silicon oxynitride prepared

by thermal oxidation of LPCVD Si-rich silicon nitride, 7

Integration

Integration issues of high-k and metal gate into conventional CMOS

technology, 170

Interatomic potential

Molecular dynamics with phase-shift-based electronic stopping for

calibration of ion implantation profiles in crystalline silicon, 121

Interface

High-thermal-stability (HfO2)1�x(Al2O3)x film fabricated by dual-beam

laser ablation, 45

Electromigration Cu mass flow in Cu interconnections, 274

Effect of interface modification on EM-induced degradation mecha-

nisms in copper interconnects, 279

Adhesion improvement of EMC–leadframe interface using brown oxide

promoters, 397

Interface control

Interface control in the laser MBE growth of hafnium oxide, 188

Interface defects

Interface defect generation probed by low voltage stress induced

leakage current, 307

Interface oxide

Current transport and high-field reliability of aluminum/hafnium oxide/

silicon structure, 312

Interface properties

Material properties of interfacial silicate layer and its influence on the

electrical characteristics of MOS devices using hafnia as the gate

dielectric, 192

Interface state density

Effect of silicidation on the electrical characteristics of polycrystalline-

SiGe Schottky diode, 86

Interface trap

Stressing effects on the charge trapping of silicon oxynitride prepared

by thermal oxidation of LPCVD Si-rich silicon nitride, 7

Interfacial microstructures

Interfacial microstructures and kinetics of Au/SnAgCu, 441

Interfacial reaction

Effect of Ni–P thickness on solid-state interfacial reactions between Sn–

3.5Ag solder and electroless Ni–P metallization on Cu substrate,

410

Interfacial stress

Temperature and pressure dependence in thermocompression gold stud

bonding, 379

Inter-metallic compound

Liquid and solid state interfacial reactions of Sn–Ag–Cu and Sn–In–

Ag–Cu solders with Ni–P under bump metallization, 431

Intermetallic compound

Nano-indentation characterization of Ni–Cu–Sn IMC layer subject to

isothermal aging, 362

Effect of Ni–P thickness on solid-state interfacial reactions between Sn–

3.5Ag solder and electroless Ni–P metallization on Cu substrate,

410

Intermetallic compounds

Effects of Sb addition on tensile strength of Sn–3.5Ag–0.7Cu solder

alloy and joint, 421

Intermetallics

Numerical and experimental correlation of high temperature reliability

of gold wire bonding to intermetallics (Au/Al) uniformity, 355

Joint-in-via

Fluxless flip chip bonding with joint-in-via architecture, 436

Page 5: Subject Index of Volume 504 Issues 1–2

Subject Index of Volume 504 Issues 1–2452

Kinetics

Interfacial microstructures and kinetics of Au/SnAgCu, 441

Lanthanide

Work function tuning of metal nitride electrodes for advanced CMOS

devices, 174

Lanthanum aluminate

Effects of the wet air on the properties of the lanthanum oxide and

lanthanum aluminate thin films, 205

Lanthanum oxide

Effects of the wet air on the properties of the lanthanum oxide and

lanthanum aluminate thin films, 205

Large deflection electrostatic microactuator

A novel electrostatic microactuator for large deflections in MEMS

applications, 64

Laser molecular beam epitaxy

Interface control in the laser MBE growth of hafnium oxide, 188

Lead-free finish

Behavior of tin whisker formation and growth on lead-free solder finish,

350

Lead-free solder

Influence of ceramic reinforcements on the wettability and mechanical

properties of novel lead-free solder composites, 401

Effects of Sb addition on tensile strength of Sn–3.5Ag–0.7Cu solder

alloy and joint, 421

Liquid and solid state interfacial reactions of Sn–Ag–Cu and Sn–In–

Ag–Cu solders with Ni–P under bump metallization, 431

Leakage current

Effect of oxidation temperature on the quality and reliability of ultrathin

gate oxide, 126

Lifetime of minority-carrier

Enhancement of minority-carrier lifetime by an advanced high

temperature annealing method, 129

Light emitting devices

Boron engineered dislocation loops for efficient room temperature

silicon light emitting diodes, 36

Line width

The effect of line width on stress-induced voiding in Cu dual damascene

interconnects, 298

Low-k dielectric

Characterization of spin-on-glass very-low-k polymethylsiloxane with

copper metallization, 243

LPCVD

Thermal effects on LPCVD amorphous silicon, 145

Magnetron sputtering

Formation and characterization of Ti–Si–N–O barrier films, 218

Material characterization

Mechanical properties of UV-curable polyurethane acrylate used in

packaging of MEMS devices, 384

Mechanical properties

Effects of Ca on grain boundary cohesion in Au ballbonding wire,

346

Metal gate

Integration issues of high-k and metal gate into conventional CMOS

technology, 170

Metal gate electrode

Work function tuning of metal nitride electrodes for advanced CMOS

devices, 174

Metal interconnect

Thermal fatigue as a possible failure mechanism in copper intercon-

nects, 321

Metal nitride

Work function tuning of metal nitride electrodes for advanced CMOS

devices, 174

Metal–insulator–semiconductor structures

High-thermal-stability (HfO2)1�x(Al2O3)x film fabricated by dual-beam

laser ablation, 45

Micro moire interferometry

Misalignment of the optical fibers in multi-channel V-grooves, 341

Microstructure

Study on the microstructure and mechanical properties of a novel

SWCNT-reinforced solder alloy for ultra-fine pitch applications,

371

MIM capacitor

A method of fabricating metal-insulator-metal (MIM) capacitor in Cu/

low-k backend interconnection process for RF application, 257

Minority carrier lifetime

Determination of the valence band offset and minority carrier lifetime in

Ge-rich layers on relaxed-SiGe, 73

Mobility

On the mobility, turn-on characteristics and activation energy of

polycrystalline silicon thin-film transistors, 59

Modeling

Enhancement in performance of poly-crystalline thin film transistors

with gate dielectric and work-function, 55

Numerical and experimental correlation of high temperature reliability

of gold wire bonding to intermetallics (Au/Al) uniformity, 355

Molecular dynamics

Molecular dynamics with phase-shift-based electronic stopping for

calibration of ion implantation profiles in crystalline silicon, 121

Morphology

Effects of Si(001) surface amorphization on ErSi2 thin film, 157

MOS

An electrical study of behaviors of Si nanocrystals distributed in the

gate oxide near the oxide/substrate interface of a MOS structure, 32

MOS decoupling capacitors

Passive and heterogeneous integration towards a Si-based System-in-

Package concept, 391

MOSFET

Metal-germanide Schottky Source/Drain transistor on Germanium

substrate for future CMOS technology, 28

Ge diffusion and solid phase epitaxy growth to form Si1�xGex/Si and

Ge on insulator structure, 69

Fowler–Nordheim and hot carrier reliabilities of U-shaped trench-gated

transistors studied by three terminal charge pumping, 302

Multi-channels

Misalignment of the optical fibers in multi-channel V-grooves, 341

Nanoindentation CSM

Nano-indentation characterization of Ni–Cu–Sn IMC layer subject to

isothermal aging, 362

Nanostructures

Silicon nanocrystal-based non-volatile memory devices, 25

An electrical study of behaviors of Si nanocrystals distributed in the

gate oxide near the oxide/substrate interface of a MOS structure, 32

Ni3Si2Effect of Ti alloying in nickel silicide formation, 153

Nickel particles

The effect of annealing on the morphologies and conductivities of sub-

micrometer sized nickel particles used for electrically conductive

adhesive, 416

Nickel Titanium

Effect of Ti alloying in nickel silicide formation, 153

Non-conductive adhesive

Time–temperature transformation (TTT) cure diagram of a fast cure

non-conductive adhesive, 331

Non-volatile memory

Simulation of trapping properties of high n material as the charge

storage layer for flash memory application, 209

Page 6: Subject Index of Volume 504 Issues 1–2

Subject Index of Volume 504 Issues 1–2 453

Nuclear stopping

Molecular dynamics with phase-shift-based electronic stopping for

calibration of ion implantation profiles in crystalline silicon, 121

Optimization

Investigations of the test parameters and bump structures in the shear

test of flip chip solder bump, 405

OSA

Misalignment of the optical fibers in multi-channel V-grooves, 341

Ostwald ripening

Continuum modeling of post-implantation damage and the effective

plus factor in crystalline silicon at room temperature, 269

Oxidation

Stressing effects on the charge trapping of silicon oxynitride prepared

by thermal oxidation of LPCVD Si-rich silicon nitride, 7

Oxygen precipitates

Enhancement of minority-carrier lifetime by an advanced high

temperature annealing method, 129

Pad conditioning

Chemical mechanical polishing (CMP) mechanisms of thermal SiO2

film after high-temperature pad conditioning, 166

Parameter extraction

Parameter extraction using novel phenomena in nano-MOSFETs with

ultra-thin (EOT=0.46–1.93 nm) high-K gate dielectrics, 178

Parylene-N

Dielectric barriers, pore sealing, and metallization, 239

Passivation

Thermal fatigue as a possible failure mechanism in copper intercon-

nects, 321

Passive devices

A method of fabricating metal-insulator-metal (MIM) capacitor in

Cu/low-k backend interconnection process for RF application,

257

Passive integration

Passive and heterogeneous integration towards a Si-based System-in-

Package concept, 391

Patterning

Chemical mechanical polishing of BTO thin film for vertical sidewall

patterning of high-density memory capacitor, 261

PECVD

High frequency characterization and continuum modeling of ultrathin

high-k (ZrO2) gate dielectrics on strained-Si, 183

Photonic crystals

Coating process of ZnO thin film on macroporous silica periodic array,

41

Photoresist trimming

Integrated process of photoresist trimming and dielectric hard mask

etching for sub-50 nm gate patterning, 117

Pinholes

Study of pinholes in ultrathin SiO2 by C-AFM technique, 11

Plasma cleaning

Effects of hollow cathode and Ar/H2 ratio on plasma cleaning of Cu

leadframe, 101

Plasma density

Effects of hollow cathode and Ar/H2 ratio on plasma cleaning of Cu

leadframe, 101

Plasma etching

Development of plasma etching process for sub-50 nm TaN gate,

140

Plasma etching of advanced materials

Localized germanium-on-insulator patterns on Si by novel etching

scheme in CF4/O2 plasma, 77

Plasma treatment

Characterization of spin-on-glass very-low-k polymethylsiloxane with

copper metallization, 243

Effect of plasma process on low-k material and barrier layer perform-

ance, 248

Poly-SiGe

Effect of silicidation on the electrical characteristics of polycrystalline-

SiGe Schottky diode, 86

Polysilicon

On the mobility, turn-on characteristics and activation energy of

polycrystalline silicon thin-film transistors, 59

Poly-silicon TFT

Enhancement in performance of poly-crystalline thin film transistors

with gate dielectric and work-function, 55

Polyurethane acrylate

Mechanical properties of UV-curable polyurethane acrylate used in

packaging of MEMS devices, 384

Pore sealing

Dielectric barriers, pore sealing, and metallization, 239

Porous low-jEffect of porosity and adhesion promoter layer on adhesion energy of

nanoporous inorganic low-j, 213Power MOSFET

Excimer Laser annealing for shallow junction formation in Si power

MOS devices, 2

Printed circuit board

Electrochemical migration characteristics of eutectic SnPb solder alloy

in printed circuit board, 294

Pulse laser deposition

High-thermal-stability (HfO2)1�x(Al2O3)x film fabricated by dual-beam

laser ablation, 45

Rapid thermal processing

Growth of high quality Er–Ge films on Ge(001) substrates by sup-

pressing oxygen contamination during germanidation annealing,

81

Refractive index

Fabrication of buried hybrid sol–gel optical waveguides by femto-

second laser direct writing, 336

Relaxation

Characterization and reliability measurement issues in devices with

novel gate stack devices, 223

Reliability

Effect of oxidation temperature on the quality and reliability of ultrathin

gate oxide, 126

Material properties of interfacial silicate layer and its influence on the

electrical characteristics of MOS devices using hafnia as the gate

dielectric, 192

Evaluation of solder joint reliability in flip chip package under thermal

shock test, 426

RF System-in-Package

Passive and heterogeneous integration towards a Si-based System-in-

Package concept, 391

RIE of Ge

Localized germanium-on-insulator patterns on Si by novel etching

scheme in CF4/O2 plasma, 77

Ruthenium

The properties of Ru on Ta-based barriers, 231

Dielectric barriers, pore sealing, and metallization, 239

Sacrificial layer

A method for reducing surface roughness during the thermal desorption

of silicon, 136

Sb addition

Effects of Sb addition on tensile strength of Sn–3.5Ag–0.7Cu solder

alloy and joint, 421

SBH inhomogeneity

Electrical characterization of platinum and palladium effects in nickel

monosilicide/n-Si Schottky contacts, 149

Page 7: Subject Index of Volume 504 Issues 1–2

Subject Index of Volume 504 Issues 1–2454

Schottky

Metal-germanide Schottky Source/Drain transistor on Germanium

substrate for future CMOS technology, 28

Schottky barrier height (SBH)

Electrical characterization of platinum and palladium effects in nickel

monosilicide/n-Si Schottky contacts, 149

Schottky diode

Effect of silicidation on the electrical characteristics of polycrystalline-

SiGe Schottky diode, 86

Selective anodic oxidation

Study of pinholes in ultrathin SiO2 by C-AFM technique, 11

Selective growth

Carbon nanotubes growing on rapid thermal annealed Ni and their

application to a triode-type field emission device, 50

Selectivity

Etching control of benzocyclobutene in CF4 /O2 and SF6 /O2 plasmas

with thick photoresist and titanium masks, 252

Chemical mechanical polishing of BTO thin film for vertical sidewall

patterning of high-density memory capacitor, 261

Self sharpening ability

Performance evaluation of a newly developed electrolytic system for

stable thinning of silicon wafers, 15

Self-assembled monolayers

Enhancing direct metal bonding with self-assembled monolayers, 367

SEM

Liquid and solid state interfacial reactions of Sn–Ag–Cu and Sn–In–

Ag–Cu solders with Ni–P under bump metallization, 431

Semiconductors

Silicon nanocrystal-based non-volatile memory devices, 25

Shallow junctions

Excimer Laser annealing for shallow junction formation in Si power

MOS devices, 2

Shear

Temperature and pressure dependence in thermocompression gold stud

bonding, 379

Si1�xGexErbium silicidation on SiGe for advanced MOS application, 91

SiCN

Comparative investigation of TaN and SiCN barrier layer for Cu/ultra

low k integration, 265

SiCN barrier

Ta/SiCN bilayer barrier for Cu–ultra low k integration, 235

Sidewall profile

Etching control of benzocyclobutene in CF4 /O2 and SF6 /O2 plasmas

with thick photoresist and titanium masks, 252

SiGe

Ge diffusion and solid phase epitaxy growth to form Si1�xGex/Si and

Ge on insulator structure, 69

Silica periodic array

Coating process of ZnO thin film onmacroporous silica periodic array, 41

Silica slurry

Chemical mechanical polishing of BTO thin film for vertical sidewall

patterning of high-density memory capacitor, 261

Silicate

Integration issues of high-k and metal gate into conventional CMOS

technology, 170

Silicide

Effect of Ti alloying in nickel silicide formation, 153

Silicon

Boron engineered dislocation loops for efficient room temperature

silicon light emitting diodes, 36

Silicon oxynitride

Stressing effects on the charge trapping of silicon oxynitride prepared

by thermal oxidation of LPCVD Si-rich silicon nitride, 7

Silicon process

A method of fabricating metal-insulator-metal (MIM) capacitor in Cu/

low-k backend interconnection process for RF application, 257

Single crystal silicon wafers

Performance evaluation of a newly developed electrolytic system for

stable thinning of silicon wafers, 15

SiO2 thermal desoption

A method for reducing surface roughness during the thermal desorption

of silicon, 136

Slurry residues

Chemical mechanical polishing (CMP) mechanisms of thermal SiO2

film after high-temperature pad conditioning, 166

SnAgCu

Interfacial microstructures and kinetics of Au/SnAgCu, 441

SnAgCu lead-free solder

Nano-indentation characterization of Ni–Cu–Sn IMC layer subject to

isothermal aging, 362

SOHOS

Simulation of trapping properties of high n material as the charge

storage layer for flash memory application, 209

Solder

Investigations of the test parameters and bump structures in the shear

test of flip chip solder bump, 405

Effect of Ni–P thickness on solid-state interfacial reactions between Sn–

3.5Ag solder and electroless Ni–P metallization on Cu substrate,

410

Solder alloys

Electrochemical migration characteristics of eutectic SnPb solder alloy

in printed circuit board, 294

Study on the microstructure and mechanical properties of a novel

SWCNT-reinforced solder alloy for ultra-fine pitch applications, 371

Sol-gel

Coating process of ZnO thin film on macroporous silica periodic array,

41

Solid phase epitaxial regrowth

Defect suppression of indium end-of-range during solid phase epitaxy

annealing using Si1�yCy in silicon, 132

Solid phase epitaxy

Ge diffusion and solid phase epitaxy growth to form Si1�xGex/Si and

Ge on insulator structure, 69

Solid–liquid interdiffusion

Fluxless flip chip bonding with joint-in-via architecture, 436

Interfacial microstructures and kinetics of Au/SnAgCu, 441

Strained-Si

High frequency characterization and continuum modeling of ultrathin

high-k (ZrO2) gate dielectrics on strained-Si, 183

Stress gradient

The influence of temperature and dielectric materials on stress induced

voiding in Cu dual damascene interconnects, 161

Investigation of the effect of temperature and stress gradients on

accelerated EM test for Cu narrow interconnects, 288

The effect of line width on stress-induced voiding in Cu dual damascene

interconnects, 298

Stress induced leakage current

Interface defect generation probed by low voltage stress induced

leakage current, 307

Stress induced voiding

The influence of temperature and dielectric materials on stress induced

voiding in Cu dual damascene interconnects, 161

The effect of line width on stress-induced voiding in Cu dual damascene

interconnects, 298

Structural and electrical properties

Effects of the wet air on the properties of the lanthanum oxide and

lanthanum aluminate thin films, 205

Sub-50 nm

Integrated process of photoresist trimming and dielectric hard mask

etching for sub-50 nm gate patterning, 117

Surface modification

Characterization of spin-on-glass very-low-k polymethylsiloxane with

copper metallization, 243

Page 8: Subject Index of Volume 504 Issues 1–2

Subject Index of Volume 504 Issues 1–2 455

Surface roughness

A method for reducing surface roughness during the thermal desorption

of silicon, 136

SWCNTs

Study on the microstructure and mechanical properties of a novel

SWCNT-reinforced solder alloy for ultra-fine pitch applications, 371

Ta film delamination

A method of fabricating metal-insulator-metal (MIM) capacitor in

Cu/low-k backend interconnection process for RF application,

257

TaN

Comparative investigation of TaN and SiCN barrier layer for Cu/ultra

low k integration, 265

TaN etching

Development of plasma etching process for sub-50 nm TaN gate, 140

Tantalum barrier

Ta/SiCN bilayer barrier for Cu–ultra low k integration, 235

Temperature cycling

Behavior of tin whisker formation and growth on lead-free solder finish,

350

Temperature gradient

Investigation of the effect of temperature and stress gradients on

accelerated EM test for Cu narrow interconnects, 288

Tensile

Temperature and pressure dependence in thermocompression gold stud

bonding, 379

Tensile strength

Study on the microstructure and mechanical properties of a novel

SWCNT-reinforced solder alloy for ultra-fine pitch applications, 371

Effects of Sb addition on tensile strength of Sn–3.5Ag–0.7Cu solder

alloy and joint, 421

Thermal & electrical conductivity

The effect of annealing on the morphologies and conductivities of sub-

micrometer sized nickel particles used for electrically conductive

adhesive, 416

Thermal shock test

Evaluation of solder joint reliability in flip chip package under thermal

shock test, 426

Thermionic emission model

Electrical characterization of platinum and palladium effects in nickel

monosilicide/n-Si Schottky contacts, 149

Thermocompression bonding

Enhancing direct metal bonding with self-assembled monolayers,

367

Thermo-mechanical bonding

Fluxless flip chip bonding with joint-in-via architecture, 436

Thin film

Coating process of ZnO thin film on macroporous silica periodic array,

41

Effects of Si(001) surface amorphization on ErSi2 thin film, 157

Vapor pressure and voiding effects on thin film damage, 325

Thin-film transistors

On the mobility, turn-on characteristics and activation energy of

polycrystalline silicon thin-film transistors, 59

Thinning performance

Performance evaluation of a newly developed electrolytic system for

stable thinning of silicon wafers, 15

Tin whisker

Behavior of tin whisker formation and growth on lead-free solder finish,

350

Ti-rich TiN

Effect of Ti-rich TiN as a Co-salicide capping layer for 0.15 um

embedded flash memory devices and beyond, 20

Ti–Si–N–O

Formation and characterization of Ti–Si–N–O barrier films, 218

Titanium nitride

Grain boundary structures of atomic layer deposited TiN, 108

Transient charging effects

Characterization and reliability measurement issues in devices with

novel gate stack devices, 223

Transient enhanced diffusion

Continuum modeling of post-implantation damage and the effective

plus factor in crystalline silicon at room temperature, 269

Traps

Simulation of trapping properties of high n material as the charge

storage layer for flash memory application, 209

Triode-type

Carbon nanotubes growing on rapid thermal annealed Ni and their

application to a triode-type field emission device, 50

TTT cure diagram

Time–temperature transformation (TTT) cure diagram of a fast cure

non-conductive adhesive, 331

Ultra low k

Ta/SiCN bilayer barrier for Cu–ultra low k integration, 235

Ultra low k polymer

Comparative investigation of TaN and SiCN barrier layer for Cu/ultra

low k integration, 265

Ultrathin gate oxide

Study of pinholes in ultrathin SiO2 by C-AFM technique, 11

Effect of oxidation temperature on the quality and reliability of ultrathin

gate oxide, 126

Ultra-thin oxides

Interface defect generation probed by low voltage stress induced

leakage current, 307

UV encapsulant

Mechanical properties of UV-curable polyurethane acrylate used in

packaging of MEMS devices, 384

Valence band offset

Determination of the valence band offset and minority carrier lifetime in

Ge-rich layers on relaxed-SiGe, 73

Vapor pressure

Vapor pressure and voiding effects on thin film damage, 325

Vertical sidewall

Chemical mechanical polishing of BTO thin film for vertical sidewall

patterning of high-density memory capacitor, 261

Vitrification

Time–temperature transformation (TTT) cure diagram of a fast cure

non-conductive adhesive, 331

Void shape

Vapor pressure and voiding effects on thin film damage, 325

Wettability and mechanical properties

Influence of ceramic reinforcements on the wettability and mechanical

properties of novel lead-free solder composites, 401

Wire bond

Numerical and experimental correlation of high temperature reliability

of gold wire bonding to intermetallics (Au/Al) uniformity, 355

Work function tuning

Work function tuning of metal nitride electrodes for advanced CMOS

devices, 174

X-ray diffraction

Effect of grain growth stress and stress gradient on stress-induced

voiding in damascene Cu/low-k interconnects for ULSI, 284

ZnO

Coating process of ZnO thin film on macroporous silica periodic array,

41