62346744 Marko Cupic Zbirka Zadataka Iz Digitalne Elektronike

Embed Size (px)

DESCRIPTION

digitalna elektronika

Citation preview

  • Zavod za elektroniku, mikroelektroniku, raunalne i inteligentne sustave

    Marko upi, dipl. ing.

    ZBIRKA RIJEENIH ZADATAKA IZ

    DIGITALNE ELEKTRONIKE

    Zagreb, 2004

  • Verzija 1.3

    (2002-2005) Marko upi. Zabranjeno je umnaanje / reproduciranje / modificiranje bilo kojih dijelova Zbirke bez pismene dozvole autora.

  • Predgovor

    Zbirka rijeenih zadataka iz Digitalne elektronike namijenjena je svim studentima koji polau ovaj kolegij, ili su zainteresirani za pokriveno gradivo. Zbirka je nastala kao rezultat rada na auditornim i laboratorijskim vjebama kroz akademske godine 2002/2003-2004/2005. Temeljni materijal koriten za izradu zbirke ine zadaci s auditornih vjebi u akademskoj godini 2001/2002. Zbirka je nadopunjena nizom zadataka u skladu s obnovljenim podrujima obraenim na predavanjima u akademskoj godini 2002/2003. U zbirci se takoer nalaze rijeeni zadaci s kolokvija, pismenih ispita, kao i odreen broj zadataka koje studenti vrlo esto rjeavaju pogreno. Ponegdje su ak ukljueni i ti pogreni naini rjeavanja, zajedno s objanjenjem zato je to pogreno, i ispravnim rjeenjem.

    Zbirka rijeenih zadataka ne sadri teorijska razmatranja koja pokriva ovaj kolegij, te se u tu svrhu preporua knjiga prof. dr. sc. Uroa Peruka Digitalna elektronika, logiko i elektriko projektiranje, III. proireno izdanje, kao i uredno pohaanje predavanja.

    U zbirci se osim samog logikog i elektrikog projektiranja digitalnih sklopova nalaze i zadaci vezani uz opisivanje i simuliranje istih uporabom VHDL-a. Vie o samom jeziku za opis sklopovlja VHDL-u moe se pronai u materijalima za laboratorijske vjebe Stjepana Groa, dipl. ing. Dobar uvod u VHDL dostupan je takoer kroz skriptu mr. sc. Sinie egvia Uvod u programski jezik VHDL, koja se moe pronai na stranicama kolegija.

    Ovim putem elio bih se takoer zahvaliti svima koji su mi pomogli u izradi ove zbirke, a napose mentoru prof. dr. sc. Vladi Glaviniu, bez kojeg ova zbirka nikada ne bi ugledala svjetlo dana, mr. sc. Borisu Kette-u za vrlo korisne rasprave i nove kuteve gledanja na pojedina podruja digitalne elektronike, kolegi Stjepanu Grou, dipl. ing. za podrku i komentare prilikom izrade Zbirke, kao i svima ostalima.

    Marko upi, dipl. ing.

    Zagreb, veljaa 2004.

  • Sadraj

    1. Refleksije, presluavanja i utjecaj kapacitivnosti. _________________________1 2. Zatitno kodiranje. Brojevni sustavi. __________________________________25 3. Osnovni logiki sklopovi.____________________________________________41 4. Booleova algebra. _________________________________________________65 5. Minimizacija logikih funkcija. ______________________________________99 6. Standardni kombinacijski moduli. ___________________________________137 7. Standardni programirljivi moduli. ___________________________________163 8. Bistabil. ________________________________________________________179 9. Digitalna aritmetika. ______________________________________________193 10. Registri. ______________________________________________________209 11. Brojila. _______________________________________________________225 12. Strojevi s konanim brojem stanja._________________________________245 13. Memorije. _____________________________________________________289 14. A/D i D/A konverzija.____________________________________________301 15. Integrirani logiki sklopovi. ______________________________________323

  • Refleksije, presluavanja i utjecaj kapacitivnosti. 1

    1. Refleksije, presluavanja i utjecaj kapacitivnosti.

    1.1. Zadatak

    Koji su tipini uzroci izoblienja signala u digitalnim sustavima? Objasnite ukratko.

    Najei uzroci izoblienja signala u digitalnim sustavima su:

    Refleksije. Presluavanja. Parazitne kapacitivnosti i induktivnosti.

    Refleksije dolaze do izraaja kada je duljina vodova kojima signal putuje relativno velika u odnosu na valnu duljinu samog signala. U tom sluaju vodove treba promatrati kao prijenosne linije (engl. transmission lines).

    Presluavanja nastaju kao rezultat utjecaja meukapaciteta i meuinduktiviteta. Manifestiraju se kao pojava pozitivnih ili negativnih naponskih smetnji na vodiu uslijed promjene naponske razine na nekom drugom vodiu.

    Parazitne kapacitivnosti i induktivnosti utjeu na poveavanje vremena potrebnog za promjenu naponskih razina u digitalnom sustavu. Pri tome je uobiajeno dominantan utjecaj parazitnih kapacitivnosti.

    1.2. Zadatak

    Objasnite pojam prijenosne linije.

    Dugaki vodii (obzirom na valnu duljinu signala koji kroz njih putuje) nazivaju se prijenosne linije. Prijenosne linije imaju nekoliko karakteristinih parametara:

    C0 kapacitet po jedinici duljine [pF/cm] L0 induktivitet po jedinici duljine [nH/cm] R0 otpor po jedinici duljine [/cm] G0 vodljivost po jedinici duljine [S/cm] Z0 karakteristina impedancija [] T0 kanjenje signala po jedinici duljine [ps/cm]

    Vrlo pojednostavljena nadomjesna shema prijenosne linije prikazana je u nastavku (a budui da se neemo detaljno baviti prijenosnim linijama, model je dovoljan kako bi se dobila gruba slika o pojavama vezanim za prijenosne linije).

  • 2 Zbirka rijeenih zadataka

    lR0lL0

    lG0lC0

    olCjlGlLjlR

    Z

    +

    +=

    000

    0

    U zadacima u nastavku zbirke promatrat emo samo idealnu prijenosnu liniju (uniformna linija bez gubitaka; R00, G00), ija je takoer pojednostavljena nadomjesna shema zajedno s karakteristinom impedancijom prikazana u nastavku.

    lL0

    lC0

    oCL

    Z 00 =

    Za razumijevanje prijenosne linije bitno je prisjetiti se injenice da su elektrini signali koje koristimo u sklopovima zapravo elektromagnetski valovi koji se kroz prostor ire odreenom brzinom (ogranienom brzinom svjetlosti c=3108 m/s). Za napon i struju na prijenosnoj liniji vano je zapamtiti da su funkcija i vremena i poloaja, to emo vidjeti u zadacima u nastavku.

    Elektromagnetski valovi ire se kroz prostor brzinom

    1=v , pri emu su:

    r = 0 , r = 0 ,

    =

    m

    F90 10)36/1( ,

    =

    m

    H70 104 .

    Lako se vidi da je za medij u kojem je r=1 i r=1: cs

    mv =

    ==8

    00

    1031

    .

    Kako je kod prijenosnih linija uobiajeno ispunjeno r=1, slijedi da je brzina irenja signala odreena izrazom:

    r

    cv

    = .

    Moe se pokazati da je brzina irenja signala kod prijenosne linije bez gubitaka takoer odreena izrazom:

  • Refleksije, presluavanja i utjecaj kapacitivnosti. 3

    00

    1CL

    v = .

    Ako je prijenosna linija dugaka l, tada e signalu trebati lT0 vremena da doe do njezinog kraja, te moemo pisati:

    =

    ==

    s

    mCLT

    Tll

    CLv

    1000

    000

    1.3. Zadatak

    Signal frekvencije 1 GHz putuje vodiem duljine 20 cm (npr. nekom sabirnicom). Poznato je r=1.44. Da li vodi treba promatrati kao prijenosnu liniju? Da li isti zakljuak vrijedi za sklop koji je izveden na ipu, gdje je duljina dotinog vodia smanjena na 25 m?

    Brzina irenja signala iznosi

    =

    ==

    s

    mcv

    r

    88

    105.244.1

    103

    Valna duljina signala iznosi mfv

    25.0101105.2

    9

    8

    =

    ==

    Kako je u prvom sluaju 0.25 m priblino jednako duljini vodia od 20 cm, vodi je potrebno promatrati kao prijenosnu liniju.

    U drugom sluaju, gdje je duljina vodia 10000 puta manja od valne duljine signala vodi nije potrebno promatrati kao prijenosnu liniju.

    1.4. Zadatak

    Objasnite pojam refleksije i transmisije.

    Neka je na prijenosnu liniju spojen izvor, ija je nadomjesna shema prikazana naponskim izvorom Us(t) i otporom Rs, te troilo RL. Nadomjesna shema cijelog sklopa prikazana je u nastavku.

    Us(t)+

    -

    Rs Z0

    RLprijenosna linija

    A BA B

    Definiraju se faktor refleksije na ulazu linije A i faktor refleksije na izlazu linije B:

  • 4 Zbirka rijeenih zadataka

    0

    0

    ZRZR

    S

    SA +

    = i 0

    0

    ZRZR

    L

    LB +

    = .

    Faktor refleksije je mjera diskontinuiteta (razlike u otporu) koji vidi signal, i odreuje koliko e se signala reflektirati u suprotnom smjeru. Ako je sa X oznaen diskontinuitet, tada e vrijediti:

    X

    +1Upadni val

    Reflektirani val

    Transmitirani val

    Dakle, kada signal amplitude Ui doe na mjesto diskontinuiteta, signal amplitude Ui vraa se kao reflektirani signal, a diskontinuitet prolazi signal amplitude (1+)Ui. Ovo zapravo znai da na lijevo i desno od diskontinuiteta naponska razina postaje ista (lijevo je prije refleksije bio napon Ui, nakon refleksije vraa se jo Ui, tako da je ukupni napon na lijevoj strani (1+)Ui, to je isto naponu koji se nalazi na desnoj strani).

    Budui da prijenosna linija ima dva mogua diskontinuiteta, refleksije se mogu dogaati na ulazu i izlazu linije, pa se stanje na liniji obino prikazuje dijagramom odbijanja (engl. bounce diagram, lattice diagram). Dijagram se sastoji od dvije paralelne linije gdje lijeva strana predstavlja ulaz linije (toka A) a desna strana izlaz linije (toka B). Vrijeme tee prema dolje.

    Neka u trenutku t=0 na liniju dolazi signal Ui. Ako je duljina linije oznaena s l, a kanjenje signala po jedinici duljine sa T0, tada je vrijeme potrebno da signal stigne s ulaza na izlaz linije odreeno izrazom:

    0TlTd =

    Stanje na liniji promatra se u diskretnim vremenskim trenucima, koji su viekratnici vremena Td. Stanje na liniji tada je prikazano dijagramom odbijanja u nastavku.

    Napon u pojedinoj toki jednostavno se dobije zbrajanjem svih doprinosa do tog trenutka. Npr. napon u toki A u trenutku tx iznosi:

    ( )222222

    1)(

    ABABABBi

    ABiABiABiBiixA

    UUUUUUtU

    ++++=

    ++++=

    U istom trenutku napon u toki B iznosi:

    ( )ABABBiABiABiBiixB

    UUUUUtU

    +++=

    +++=2

    2

    1)(

  • Refleksije, presluavanja i utjecaj kapacitivnosti. 5

    Do razlike je dolo uslijed injenice da reflektirana komponenta 22 ABiU koja je u trenutku t=0+4Td krenula od toke A prema toki B tamo jo nije stigla.

    t=0 t=0

    t=0+1Tdt=0+1Td

    t=0+2Td t=0+2Td

    t=0+3Td t=0+3Td

    t=0+4Td t=0+4Td

    t=0+5Td t=0+5Td

    t=0+6Td t=0+6Td

    iU

    BiU

    ABiU

    ABiU 2

    22ABiU

    23ABiU

    33ABiU

    l

    t=tx

    A B

    Koliko iznosi Ui? Neka izvor generira napon prema step funkciji (do t=0 napon je 0V, nakon t=0 napon je US, npr. 5V). Otpori Rs i Z0 jedino su to signal "vidi" u trenutku t=0, te ta dva otpora ine naponsko dijelilo, ime je Ui odreen:

    0

    0

    ZRZ

    UUS

    Si +=

  • 6 Zbirka rijeenih zadataka

    1.5. Zadatak

    Koji su karakteristini sluajevi optereenja prijenosne linije? Izraunajte faktor refleksije.

    Postoje tri karakteristina sluaja:

    Terminirana linija: RL=Z0. Kratki spoj linije: RL=0. Otvorena linija: RL=.

    Us(t)+

    -

    Rs Z0

    RL=Z0prijenosna linija

    A BA B

    000

    00=

    +

    =

    ZZZZ

    B

    Us(t)+

    -

    Rs Z0

    RL=0prijenosna linija

    A BA B

    100

    0

    0=

    +

    =

    ZZ

    B

    Us(t)+

    -

    Rs Z0

    RL=prijenosna linija

    A B

    A B

    10

    0=

    +

    =

    ZZ

    B

    U sluaju kada je linija terminirana, nema refleksija! Ujedno je potrebno zapamtiti i kada je linija terminirana: otpor tereta i impedancija linije moraju biti jednaki (RL=Z0).

  • Refleksije, presluavanja i utjecaj kapacitivnosti. 7

    1.6. Zadatak

    Dva digitalna sklopa povezana su dugakim vodom na tiskanoj ploici. Sklop A alje sklopu B informaciju podizanjem napona ug=U na izlazu s 0V na +5V. Poznati su slijedei podaci: izlazni otpor sklopa A iznosi 100, karakteristina impedancija voda iznosi 100, ulazni otpor sklopa B iznosi 3900. Brzina signala kroz prijenosnu liniju iznosi 2108 m/s, a duljina samog voda iznosi 40 cm. Prikaite naponsko stanje na vodu.

    Poznato je:

    = 100izR , = 1000R , = 3900ulR , smv /1028

    = , mcml 4.040 ==

    Da bi signal stigao s jednog kraja linije na drugi, potrebno mu je vrijeme:

    nsv

    lTd 2102

    4.08 =

    ==

    Prikazani sklopovi mogu se nadomjestiti elektrikom shemom prema slici:

    Au BuulR

    izlR

    gu

    0RA B

    Faktor refleksije na ulaznom kraju voda:

    0100100100100

    0

    0=

    +

    =

    +

    =

    RRRR

    iz

    izA

    Vidimo da je izlazni otpor sklopa A prilagoen karakteristinoj impedanciji voda pa na tom kraju nee dolaziti do refleksije.

    Faktor refleksije na izlaznom kraju voda:

    A B

    izu ulu

  • 8 Zbirka rijeenih zadataka

    95,010039001003900

    0

    0=

    +

    =

    +

    =

    RRRR

    ul

    ulB

    Kako je B0, na ovom kraju dolaziti e do refleksije. Nacrtajmo dijagram odbijanja:

    t=0 ns t=0 ns

    t=2 nst=2 ns

    t=4 ns t=4 ns

    VUi 5,2=

    VU Bi 375,2=

    VU ABi 0=

    l

    A B

    VRR

    RUU

    izgi 5,2100100

    1005

    0

    0=

    +=

    +=

    VU Bi 375,295,05,2 ==

    VU ABi 0095,05,2 ==

    Promatrajmo kretanje napona na oba kraja voda:

    Izlaz sklopa A: Au (ulazni kraj voda)

    Ulaz sklopa B: Bu (izlazni kraj voda)

    0. t=0Td =0 ns uA(0 ns)=2,5 V

    t=0Td =0 ns uB(0 ns)=0 V

    t=1Td =2 ns uA(2 ns)=2,5 V

    1. t=1Td =2 ns uB(2 ns)=2,5+2,375=4,875 V

    2. t=2Td =4 ns uA(4 ns)=2,5+2,375=4,875 V

    t=2Td =4 ns uB(4 ns)=2,5+2,375=4,875 V

  • Refleksije, presluavanja i utjecaj kapacitivnosti. 9

    Objanjenje po fazama 0. Sklop A generira na izlazu napon od 5V. Napon se dijeli na dijelilu izlR - 0R .

    Zatim zapoinje irenje naponskog vala prema izlazu voda. U ovom trenutku napon u toci B i dalje je 0, jer naponskom signalu treba Td vremena kako bi stigao do drugog kraja.

    1. Naponski val amplitude 2.5V stie do kraja voda. Tu se dogaa refleksija, i reflektirani val poinje se iriti prema poetku voda. Reflektirani val ima amplitudu jednaku amplitudi upadnog vala puta faktor refleksije. Signal koji se iz ove toke iri dalje (prema teretu; transmitirani val) ima amplitudu jednaku amplitudi upadnog vala pomnoenoj faktorom refleksije uveanim za 1. Dakle, vraa se 2,375V, a na teret ide 4,875V.

    2. Reflektirani val stie do poetka voda. Kako je ovaj kraj otporno prilagoen, nema refleksije. Napon u toj toki od sada na dalje jednak je naponu koji je vladao prije dolaska reflektiranog vala (2.5V) uveanom za amplitudu pristiglog reflektiranog vala (2.375V). Vidi se da je napon na oba kraja voda sada jednak, te je pad napona na vodu jednak nula, to je i bilo za oekivati.

    Grafiki prikaz:

    Za vjebu: Kakvo bi bilo stanje na vodu uz: a) Rul=, b) Rul=0?

    1.7. Zadatak

    Dva digitalna sklopa komuniciraju preko dugakog voda na tiskanoj ploici. Poznati su slijedei podaci: izlazni otpor sklopa A iznosi 150, induktivitet voda po jedinici duljine 0.5H/m, kapacitet voda po jedinici duljine 50pF/m, ulazni otpor sklopa B 3900 a duljina voda 60 cm. Sklop A alje sklopu B informaciju podizanjem napona na izlazu s 0V na +5V. Prikaite naponsko stanje na vodu.

    Poznato je: = 150izR mHL /5,00 =

    = 3900ulR mpFC /500 =

    t

    ug

    t

    uA

    5

    5

    t2

    uB5

    4 6 [ns]

  • 10 Zbirka rijeenih zadataka

    Karakteristinu impedanciju voda moemo odrediti poznavanjem induktiviteta po jedinici duljine i kapaciteta po jedinici duljine voda:

    ==== 10010000505,0

    0 pCL

    R

    Brzina irenja signala po vodu iznosi:

    s

    m

    CLv 8

    12600

    1021050105,0

    11

    =

    ==

    Vrijeme potrebno za prolaz signala do kraja voda iznosi:

    nsv

    lTd 3102

    6.08 =

    ==

    Faktor refleksije na ulaznom kraju voda:

    2.051

    100150100150

    0

    0==

    +

    =

    +

    =

    RRRR

    iz

    izA

    Vidimo da izlazni otpor sklopa A nije prilagoen karakteristinoj impedanciji voda (A0) pa e na tom kraju dolaziti do refleksije.

    Faktor refleksije na izlaznom kraju voda:

    95.010039001003900

    0

    0=

    +

    =

    +

    =

    RRRR

    ul

    ulB

    Kako je B0, na ovom kraju takoer e dolaziti do refleksije.

    Napon koji e se po ukljuenju izvora pojaviti na prijenosnoj liniji iznosi:

    VRR

    RUU

    izgi 2100150

    1005

    0

    0=

    +=

    +=

  • Refleksije, presluavanja i utjecaj kapacitivnosti. 11

    t=0 ns t=0 ns

    t=3 nst=3 ns

    t=6 ns t=6 ns

    t=9 ns t=9 ns

    t=12 ns t=12 ns

    t=15 ns t=15 ns

    t=18 ns t=18 ns

    VUi 2=

    VU Bi 9,1=

    VU ABi 38,0=

    VU ABi 361,02

    =

    VU ABi 0722,022

    =

    VU ABi 06859,023 =

    VU ABi 013718,033

    =

    l

    A B

    Promatrajmo kretanje napona na oba kraja voda:

    Ulazni kraj: Izlazni kraj: 0. t=0Td =0 ns

    uA(0 ns)=2 V

    t=0Td =0 ns

    uB(0 ns)=0 V t=1Td =3 ns

    uA(3 ns)=2 V

    1. t=1Td =3 ns

    uB(3 ns)=2+1,9=3,9 V 2. t=2Td =6 ns

    uA(6 ns)=2+1,9+0,38=4,28 V

    t=2Td =6 ns

    uB(6 ns)=2+1,9=3,9 V

    t=3Td =9 ns

    uA(9 ns)=2+1,9+0,38=4,28 V

    3. t=3Td =9 ns

    uB(9 ns)=2+1,9+0,38+0,36=4,64 V

    4. t=4Td =12 ns t=4Td =12 ns

  • 12 Zbirka rijeenih zadataka

    uA(12 ns)=2+1,9+0,38+0,36+0,0722 = 4,7132 V

    uB(12 ns)=2+1,9+0,38+0,36=4,64 V

    t=5Td =15 ns

    uA(15 ns)=2+1,9+0,38+0,36+0,0722 = 4,7132 V

    5. t=5Td =15 ns

    uB(15 ns) = = 2+1,9+0,38+0,36+0,0722+0,06859 = 4,78179 V

    6. t=6Td =18 ns

    uA(18 ns) = = 2+1,9+0,38+0,36+0,0722 +0,06859+0,013718 = 4,795508 V

    t=6Td =18 ns

    uB(15 ns) = = 2+1,9+0,38+0,36+0,0722+0,06859 = 4,78179 V

    Za vjebu: Nacrtati stanje na vodu prema izraunatim vrijednostima. Kojem naponu tei napon na krajevima voda? Zato?

    1.8. Zadatak

    Izlaz digitalnog sklopa prikljuen je na dugaki vod. Sklop generira jedan idealni pravokutni impuls amplitude 5V i trajanja 2 ns. Ako je vod neoptereen, prikaite naponsko stanje na vodu. Jo je poznato: Td=6 ns, karakteristina impedancija voda iznosi 100, izlazni otpor digitalnog sklopa iznosi 400.

    6.053

    100400100400

    0

    0==

    +

    =

    +

    =

    RRRR

    iz

    izA

    1100100

    0

    0=

    +

    =

    +

    =

    RRRR

    ul

    ulB

    Pravokutni impuls od amplitude 5 V u trajanju od 2 ns moemo prikazati kao sumu dvije step funkcije:

    ug(t) = 5 (S(t)-S(t - 2 ns))

    Efektivno dakle imamo pozitivni naponski skok u t = 0 ns i negativni naponski skok u t = 2 ns, a odziv na skok ve je rijeen u prethodnim zadacima.

    VUU gi 1100400100

    5100400

    100=

    +=

    +=

  • Refleksije, presluavanja i utjecaj kapacitivnosti. 13

    U trenutku t=0 kroz liniju kree signal amplitude Ui, a 2 ns nakon njega kroz liniju se poinje iriti signal amplitude Ui. Dijagram odbijanja prikazan je u nastavku:

    t=0 ns t=0 ns

    t=6 nst=6 ns

    t=12 ns t=12 ns

    t=18 ns t=18 ns

    t=24 ns t=24 ns

    t=30 ns t=30 ns

    t=36 ns t=36 ns

    VUi 1=

    l

    A B

    VUi 1=

    VU Bi 1= VU Bi 1=

    VU ABi 6,0=

    VU ABi 6,0=

    VU ABi 6,02 =

    VU ABi 6,02 =

    VU ABi 36,023

    =

    VU ABi 36,023

    = VU ABi 36,023 =

    VU ABi 36,023

    = VU ABi 216,033 =

    VU ABi 216,033 =

    Dijagrami napona na ulazu i izlazu iz linije prikazani su u nastavku:

  • 14 Zbirka rijeenih zadataka

    2

    1,5

    1

    0,5

    2 4 6 8 10 12 14 16 18 20 22 2624 28 30 32 34 36 38 40t [ns]

    ui [V]

    2

    1,5

    1

    0,5

    2 4 6 8 10 12 14 16 18 20 22 2624 28 30 32 34 36 38 40t [ns]

    uA [V]

    2

    1,5

    1

    0,5

    2 4 6 8 10 12 14 16 18 20 22 2624 28 30 32 34 36 38 40t [ns]

    uB [V]

    1V

    1V 0,96V

    1,6V

    0,576V

    2V

    1,2V

    0,72V

    1.9. Zadatak

    Izlaz digitalnog sklopa prikljuen je na dugaki vod. Sklop u trenutku t=0 generira naponski skok amplitude 5V. Ako je vod neoptereen, prikaite naponsko stanje na vodu. Vod se sastoji od dva dijela. Na prvom dijelu kanjenje signala po jedinici duljine iznosi

    mnsT /1010 = a na drugom dijelu kanjenje signala po jedinici duljine iznosi mnsT /520 = . Duljina prvog dijela iznosi 0,2 m, a duljina drugog dijela 0,4 m. Karakteristina impedancija prvog dijela voda iznosi 100 a drugog dijela voda 60, izlazni otpor digitalnog sklopa iznosi 150. Prikaite naponsko stanje na liniji.

    Kanjenje signala na prvom dijelu voda iznosi:

    nsTlTd 2102,0101

    1===

    a na drugom dijelu voda:

    nsTlTd 254,02022 === .

    Vidimo da je kanjenje signala kroz oba dijela vodia je jednako. U zadatku imamo 4 faktora refleksije, odreena sljedeim izrazima:

  • Refleksije, presluavanja i utjecaj kapacitivnosti. 15

    2,0100150100150

    1,0

    1,0=

    +

    =

    +

    =

    ZRZR

    iz

    izA , 25,010060

    100601,02,0

    1,02,02 =+

    =

    +

    =

    ZZZZ

    ,

    25,06010060100

    2,01,0

    2,01,03 =+

    =

    +

    =

    ZZZZ

    , 16060

    2,0

    2,03 =+

    =

    +

    =

    ZRZR

    L

    L

    Naime, postoje 3 diskontinuiteta: ulaz u prvi vod (toka A), spoj dvaju vodova (toka X) i izlaz iz drugog voda (toka B). Napon na ulazu voda u trenutku t=0 odreen je iskljuivo naponskim dijelilom Riz-Z0,1, prema formuli:

    VZR

    ZUU

    izgi 2100150

    1005

    1,0

    1,0=

    +=

    +=

    Dijagram odbijanja prikazan je u nastavku:

    A BX

    0 ns

    2 ns

    4 ns

    8 ns

    10 ns

    12 ns

    14 ns

    16 ns

    18 ns

    0 ns

    2 ns

    4 ns

    8 ns

    10 ns

    12 ns

    14 ns

    16 ns

    18 ns

    a

    bc

    d e

    f g

    h i

    j k

    l m

    n o

    p q

    Radi preglednosti dijagrama pojedine reflektirane i transmitirane komponente nisu direktno upisivane, ve su samo oznaene slovima. Uz navedene faktore refleksije, bitna su nam i dva faktora transmisije:

    75,01 22 =+= T i 25,11 33 =+= T

    Tada su pojedine komponente definirane izrazima:

  • 16 Zbirka rijeenih zadataka

    VUa i 2== VaTb 5,12 ==

    Vac 5,02 == Vcd A 1,0==

    Vbe B 5,1== VeTdf 9,132 =+= VdTeg 3,023 =+=

    Vfh A 38,0== Vgi B 3,0==

    ViThj 28,032 =+= VhTik 36,023 =+=

    Vjl A 056,0== Vkm B 36,0==

    VmTln 436,032 =+= VlTmo 132,023 =+=

    Vnp A 0872,0== Voq B 132,0==

    Obratiti panju na nain izrauna f (slino kao i g, j, k, ...). Kad signal predstavljen sa h doe u toku X, jedan dio se reflektira natrag prema toki A ( 2d ), a dio se transmitira dalje prema toki B ( 2dT ). Kako istovremeno u tu toku dolazi i signal iz toke B predstavljen sa e, jedan dio tog signala reflektira se natrag prema toki B ( 3e ), a dio se transmitira dalje prema toki A ( 3eT ). Tada ukupni signal koji se vraa prema A sadri reflektiranu komponentu signala d i transmitiranu komponentu signala e, odnosno:

    32 eTdf += . Isto tako, ukupni signal koji se vraa prema B sadri reflektiranu komponentu od e, i transmitiranu komponentu od d, dakle: 23 dTeg +=

    Grafiki prikaz napona u tokama A, X i B dan je u nastavku.

  • Refleksije, presluavanja i utjecaj kapacitivnosti. 17

    1

    2

    3

    4

    5

    2 4 6 8 1210 14 16t [ns]

    UA[V]

    1

    2

    3

    4

    5

    2 4 6 8 1210 14 16t [ns]

    UX[V]

    1

    2

    3

    4

    5

    2 4 6 8 1210 14 16t [ns]

    UB[V]

    2 V1,4 V

    3,68 V4,016V

    4,5392 V

    1,5 V

    3,3 V3,96 V

    4,452 V

    3 V3,6 V

    4,32 V 4,587 V

    1.10. Zadatak

    Objasnite utjecaj presluavanja na rad digitalnih sklopova.

    Do presluavanja dolazi uslijed meuinduktivnih i meukapacitivnih veza. Razlikujemo presluavanje na bliem kraju i presluavanje na daljem kraju. Npr. promotrimo rad dijela sabirnice (dva para sklopova), prikazanih na slici.

    X Y

    W Z

  • 18 Zbirka rijeenih zadataka

    Sklopove moemo nadomjestiti:

    RS R0

    R0 R0

    ICmblii kraj dalji kraj

    RS R0

    R0 R0

    ILmblii kraj dalji kraj

    Iblii=ICm+ILm

    Idalji=ICm-ILm

    Vidimo dakle da je utjecaj presluavanja uvijek vei na bliem kraju a manji na daljem kraju. Struja zbog meukapacitivnosti kod donjeg sklopa uvijek tee prema oba kraja, dok je smjer struje zbog meuinduktivnosti odreen Lenzovim zakonom (npr. ako struja u gornjem sklopu potee na desno, u donjem e sklopu potei na lijevo). Detaljnija matematika obrada prikazana je u sljedeem zadatku.

    1.11. Zadatak

    Izlaz jednog digitalnog sklopa A spojen je na ulaze dvaju digitalnih sklopova B i B' preko dugakog voda na tiskanoj ploici. Poznato je da izlazni otpor sklopa A kada je izlaz u visokom stanju iznosi 130, dok je u niskom stanju 10. Ulazni otpor sklopa B (i B') iznosi 10k, dok je ulazna kapacitivnost 1 pF. Kapacitivnost linije iznosi 10pF.

    Izraunajte i nacrtajte oblik napona koji se pojavi na ulazima sklopova B ako sklop A generira pravokutni naponski impuls 5V u trajanju od 10 ns. Zanemarite refleksije.

    Izlaz digitalnog sklopa A moe se nadomjestiti idealnim naponskim izvorom koji u seriju ima spojen izlazni otpor. Nesavrenu liniju nadomjetamo savrenom uz dodatak kapacitivnosti CP. Ulazi sklopova B i B' nadomjetaju se paralelnom kombinacijom ulaznog otpora Rul i kapacitivnosti CT.

    A

    B

    B'

  • Refleksije, presluavanja i utjecaj kapacitivnosti. 19

    izlR

    gu

    PC

    ulR TC

    ulR TC

    A

    B

    B'

    Ovu shemu moemo nacrtati i na drugaiji nain, tako da grupiramo otpore na jedno mjesto a kapacitivnosti na drugo:

    izlR

    guulR ulR PC TC TC

    Thevenin

    Po Theveninu ovaj se strujni krug moe pojednostavniti na: TOTR

    tuTOTCi

    pri emu je:

    VRRR

    RRuu

    ululizl

    ululgt 873.4||

    ||=

    +=

    izlululTOT RRRR ||||= PTTTOT CCCC ++=

    Moe se napisati slijedea naponska jednadba:

    += dttiCRtitu TOTTOTt )(1)()(

    1. sluaj:

  • 20 Zbirka rijeenih zadataka

    t=0, skok napona izvora s 0V na ut. Rjeenje jednadbe glasi:

    ==

    11)()( t

    tCul eututu , TOTTOT CR = 1,1 , 130||10||101, kkRTOT =

    ns52,11 = Jednadba vrijedi do t = 10 ns.

    2. sluaj:

    t=10ns, skok napona izvora s ut na 0V (kraj naponskog impulsa). Rjeenje jednadbe tada glasi:

    2

    10

    )10()()( nt

    ulCul enstututu

    === , TOTTOT CR = 2,2 , 10||10||102, kkRTOT = ns12,02 =

    Jednadba vrijedi od t = 10 ns nadalje.

    Grafiki prikaz:

    Za vjebu: Izraunati vrijeme porasta, vrijeme pada i trajanje impulsa prema predavanjima. Ako se trajanje impulsa skrati na 1 ns, kako e tada izgledati izlazni napon? Hoe li sklop B ispravno protumaiti da je primio visoku naponsku razinu (pretpostavimo da napon na ulazu mora biti barem 4V da bi bio shvaen kao visoka razina)?

    t10 ns

    ut

    t10 ns

    uul

  • Refleksije, presluavanja i utjecaj kapacitivnosti. 21

    X Y

    Z W

    vod A

    vod B

    1.12. Zadatak

    Dva para digitalnih sklopova (X, Y) i (W, Z) povezani su dugakim paralelnim vodovima na tiskanoj ploici, na sljedei nain: izlaz sklopa X povezan je na ulaz sklopa Y, izlaz sklopa W povezan je na ulaz sklopa Z. Svi sklopovi su istog tipa. Poznati su slijedei podaci: napon visoke razine UH=3.8V, napon niske razine UL=0,3V, struja niske razine IIL=-1.6mA, struja visoke razine IIH0mA, RulH=, RulL=4k, RizlH=100, RizlL=10k. Kapacitet izmeu vodova iznosi C=10pF, a meuinduktivitet M=100nH. Izlazi sklopova X i W nalaze se na visokoj razini (UH). Sklop X u trenutku t1 poalje sklopu Y impuls trajanja T, s parametrima tr=8ns, tf=5ns. Ako su sklopovi X i Z, te Y i W susjedni (vidi sliku), odredite utjecaj tog impulsa na sklopove W i Z. Analizirati samo utjecaj presluavanja!

    Postoje dvije komponente presluavanja:

    i) zbog meuinduktiviteta ii) zbog kapaciteta

    Utjecaj presluavanja u opem sluaju Utjecaj meuinduktiviteta Utjecaj kapaciteta

    gR

    gu1R 1u

    Pu

    2R2u T

    R

    Ii

    IIi+

    - +

    -

    M

    gR

    gu1R 1u

    Cu

    2R2u T

    R

    Ci+

    -

    +

    -

    C

    dtdiMu Ip = , dt

    diMRRRR

    ui IPII2121

    1+

    =

    +=

    dtduCi CC =

    dtdiM

    RRR

    u I

    21

    11 +

    =

    +

    dtduCRR C)||( 21

    dtdiM

    RRR

    u I

    21

    22

    +=

    +

    dtduCRR C)||( 21

  • 22 Zbirka rijeenih zadataka

    U trenutku t1 sklop X generira padajui brid impulsa. Vrijedi:

    0),(),()( 111 === HHC UUtBtAtu

    HLC UUtBtAtu ==+++ ),(),()( 111

    sV

    t

    UUt

    tutu

    t

    u

    dtdu

    f

    HL

    f

    CC

    f

    CC 811 107)()( ===+

    IHI Iti = )( 1 i ILI Iti =+ )( 1

    sA

    t

    IIt

    titit

    idtdi

    f

    IHIL

    f

    II

    f

    II 511 102,3)()( ===+

    Uz sklopove postavljene kao u zadatku, R1 odgovara RulH sklopa Z, R2 odgovara RizlH sklopa W. Dobije se:

    mVu 7321 =

    Kako je u stacionarnom stanju napon na vodu B jednak UH, pod utjecajem presluavanja napon pada na VuUH 068,3)732,0(8,31 =+=+ .

    Grafiki prikaz: Zato derivacije nisu delta funkcije? naponski skok kod uA impulsa takoer nije savreno okomit. Moemo aproksimirati trapezom trajanja tf/tr, pa bi derivacije bile pravokutni impulsi. Meutim, i to je samo aproksimacija, stvarno impulsi izgledaju kao na slici...

    Za vjebu. Izraunati i nacrtati utjecaj presluavanja u trenutku t=t1+T (dakle, na rastui brid). Ponoviti analizu uz pretpostavku da su susjedni sklopovi sada X i W, te Y i Z.

    t

    uA

    t

    t

    uB

    dtdiI

    tt1 t1+T

    dtduC

    ?

    ?

    ?

  • Refleksije, presluavanja i utjecaj kapacitivnosti. 23

    ZADACI ZA VJEBU

    1. (Pismeni ispit 30.09.2004.) Vie sklopova spojeno je na zajedniku sabirnicu koja se ponaa kao dugaka linija. Zbog kvara, jedan od sklopova (npr. sklop C) sabirnicu kratko spaja na masu. Prikaite stanje na sabirnici nakon to jedan sklop A na izlazu generira napon od 5V, u trajanju od 5td. Na sabirnicu je jo prikljuen samo ulaz sklopa B, dok su svi ostali sklopovi u stanju visoke impedancije. Sklopovi B i C fiziki su smjeteni blizu. Poznato je: izlazni otpor sklopa iznosi 150, ulazni otpor sklopa 2400 a karakteristina impedancija voda iznosi 100.

    2. (Pismeni ispit 07.02.2005.) Izlaz digitalnog sklopa spojen je na dugaki vod na tiskanoj ploici, koji se ponaa kao kao prijenosna linija. Ako je poznato da je izlazni otpor sklopa 50, a Z0=100, nacrtajte stanje na vodu za sluaj da se napon na izlazu sklopa promijeni s 0,3V na 5V, te ako je: a. na kraj voda spojeno troilo ulaznog otpora 100, b. kraj voda otvoren (nema spojenih troila).

  • Zatitno kodiranje. Brojevni sustavi. 25

    2. Zatitno kodiranje. Brojevni sustavi.

    2.1. Zadatak

    etiri-bitni podatak 1011 potrebno je zatititi kodom n-strukog ponavljanja (uz n=5). Prikazati zatieni podatak i navesti svojstva ovog kodiranja. Pokazati nain ispravljanja pogreke na primjeru.

    Opisani kod spada u najjednostavnije mogue, pri emu kod odjednom moe kodirati samo jedan bit podatka (dakle 0 ili 1) i zbog toga ima samo dvije kodne rijei {0000, 1111}. Dakle, podatak 0 kodira se sa n nula, a podatak 1 sa n jedinica.

    Podatak 1011 tada e uz n=5 biti kodiran kao 11111 00000 11111 11111.

    Redundancija ovog kodiranja je vrlo velika; naime:

    8.0541

    ==

    ==

    n

    n

    n

    rR

    i poveanjem n raste prema 1 (r je broj zatitnih, tj. redundantnih bitova, n je ukupan broj bitova). No, zahvaljujui velikoj redundanciji, kod moe otkrivati i ispravljati ak (n-1)/2 pogreaka, gdje je s x definirana funkcija zaokruenja prema prvom manjem cijelom broju. U naem primjeru, broj pogreaka koje kod moe otkriti i ispraviti iznosi: ( ) ( ) 222/152/1 ===n . Kako smo doli do ovog zakljuka? Klju je u

    raunanju udaljenosti primljene kodne rijei i svake mogue ispravne kodne rijei, gdje se udaljenost rauna kao broj razliitih bitova. Ako kodna rije ima n bitova, tada je uslijed pogreke mogla nastupiti jedna od sljedeih situacija: promijenjeno je nula bitova, promijenjen je jedan bit, , promijenjeno je svih n bitova. Pogledajmo to grafiki za n=5 (i uzmimo u obzir da imamo samo dvije ispravne kodne rijei):

    Na prikazanoj slici referentna kodna rije je 00000. Isto razmatranje moemo napraviti ako kao referentnu kodnu rije uzmemo 11111, ime dobijemo simetrinu sliku:

  • 26 Zbirka rijeenih zadataka

    Uzevi u obzir da je vjerojatnost pojave viestruke pogreke uobiajeno manja od vjerojatnosti pojave manje pogreke, uvijek e se pretpostavljati da je dolo do minimalnog broja promijenjenih bitova. Zbog toga e se izraunati udaljenost primljene rijei do svake ispravne kodne rijei, i kao prava poslana kodna rije bit e uzeta ona ispravna kodna rije koja ima minimalnu udaljenost. Npr. ako je primljena kodna rije 10101, raunamo udaljenosti:

    d(10101, 00000) = 3 d(10101, 11111) = 2

    Dakle, pretpostavit emo da je poslana kodna rije 11111, to odgovara podatku 1. Na ovaj nain kod e pouzdano ispravljati svaku jednostruku pogreku, i svaku dvostruku pogreku, to smo inicijalno i utvrdili. No u sluaju trostruke pogreke, kod e zakazati. Naime, tada e udaljenost primljene rijei biti manja do one druge kodne rijei, i ispravljanje pogreke e zakazati (zbog ega smo i izrekli tvrdnju da kod pouzdano ispravlja do dvije pogreke).

    Openito vrijedi sljedea tvrdnja: kod s minimalnom udaljenou d izmeu svake dvije ispravne kodne rijei moe ispraviti ( ) 2/1d pogreaka.

    Tvrdnja se moe izrei i obratno: da bi kod mogao ispravljati t pogreaka, minimalna distanca izmeu svake dvije ispravne kodne rijei mora biti 12 + td .

    Ova tvrdnja vrijedit e i za sve kodove koje emo obraditi u nastavku.

    2.2. Zadatak

    Oktet 213 potrebno je zatititi paritetnim bitom uz uporabu parnog pariteta. Objasniti ovaj nain zatitnog kodiranja, i svojstva.

    Traeno kodiranje obavlja se tako da se podatkovnoj rijei doda jo jedan bit, koji se postavi na onu vrijednost uz koju je cijela kodna rije traenog pariteta. Ako je n-bitna podatkovna rije dana bitovima b0, b1, , bn-1, a paritetni bit oznaimo s bn, tada uz pretpostavku parnog pariteta mora vrijediti:

    0110 = nn bbbb "

  • Zatitno kodiranje. Brojevni sustavi. 27

    odakle odmah slijedi:

    110 = nn bbbb "

    Ako se pak koristi neparni paritet, tada paritetni bit mora zadovoljavati izraz:

    1110 = nn bbbb "

    odakle odmah slijedi:

    110110 1 == nnn bbbbbbb ""

    Uz zadane podatke: 213 = 11010101(2), paritetni bit iznosi:

    1110101018 ==b

    te je kodna rije: 111010101 (podcrtan je paritetni bit).

    Lako se je uvjeriti da ova kodna rije doista zadovoljava poetni uvjet:

    0110101011110 == nn bbbb "

    U ovom zadatku podatkovna rije ima k=8 bitova, broj zalihosnih bitova r=1, te je ukupna duljina kodne rijei n=k+r=9. Redundancija koda tada je odreena izrazom:

    1111.091

    ===

    n

    rR .

    Ovaj kod otkriva svaku jednostruku pogreku, i svaki neparan broj pogreaka. Kod ne moe ispraviti niti jednu pogreku.

    2.3. Zadatak

    Niz okteta {25, 12, 250, 54, 93, 33, 196, 212} potrebno je zatititi uporabom uzdunog i poprenog pariteta (koristiti parni paritet). Pri tome treba koristiti takav nain kodiranja koji e osigurati minimalnu redundanciju! Prikaite kodirane podatke i izraunajte redundanciju.

    Niz koji kodiramo sastoji se od 6488 = bitova. Ovaj niz potrebno je presloiti u blok bitova koji u svakom retku sadri W podatkovnih bitova, a u svakom stupcu H podatkovnih bitova. Ukupan broj podatkovnih bitova tada e biti HWp = i to mora biti jednako 64. Cijeli blok oito e biti dimenzija ( ) ( )11 ++= HWn . Broj zalihosnih bitova tada je 1++= HWr .

    Redundancija R rauna se prema izrazu:

  • 28 Zbirka rijeenih zadataka

    n

    rR =

    Budui da traimo takav nain kodiranja da redundancija bude minimalna, pronaimo minimum te funkcije dviju varijabli (W i H). U tu svrhu emo najprije eliminirati jednu od varijabli koritenjem supstitucije:

    WpH =

    to e dati:

    ( )

    ++= 11

    WpWn

    1++=WpWr

    pa imamo:

    ( )( )

    ++

    ++=

    11

    1

    WpW

    WpW

    WR

    Derivirajmo R po W i izjednaimo s nulom:

    ( ) ( ) ( )

    ( )0

    11

    111111 22=

    ++

    ++

    +

    ++

    ++

    +

    =

    WpW

    WpW

    WpW

    Wp

    WpW

    Wp

    dWWdR

    Nakon kraeg rauna slijedi:

    023 = WppW

    tj. slijedi: ( ) 02 = pWpW

    Kako niti p niti W ne mogu biti 0, slijedi da je trei lan jednak 0, pa imamo:

    pW =

    Odavde odmah slijedi i H uvrtavanjem u poetnu supstituciju:

  • Zatitno kodiranje. Brojevni sustavi. 29

    pp

    pWpH ===

    Uz zadane parametre slijedi:

    8=W 8=H

    17188 =++=r ( )( ) 811818 =++=n

    21.08117

    =R

    To znai da emo svaki oktet staviti u jedan redak. Zatieni blok podataka iznosi:

    1 0 1 1 0 1 0 1 1 0 0 0 1 1 0 0 1 1 0 0 0 0 1 1 0 0 0 1 1 1 1 1 0 1 0 0 0 0 1 1 0 1 1 0 0 0 1 0 1 1 1 0 1 1 0 0 1 0 0 0 0 1 0 1 1 0 0 0 1 0 0 1 1 1 0 1 0 1 0 0 0

    Prvi redak pripada paritetnim bitovima poprenog pariteta, a zadnji stupac pripada paritetnim bitovima uzdunog pariteta.

    2.4. Zadatak

    Poruku 101100011010(2) potrebno je zatititi uporabom Hammingovog koda koristei parni paritet. Zatim pretpostavite da tijekom prijenosa takve zatiene poruke uslijed djelovanja smetnji 12-ti bit (brojei s lijeva na desno poevi od 1) promjeni iznos. Da li moemo otkriti da je dolo do pogreke u prijenosu, i moemo li ispraviti pogreku? Pokaite to.

    Hammingov kod mijea zatitne bitove s podatkovnim bitovima. Najprije je potrebno ustanoviti koliko e zatiena rije imati bitova i kako e se oni raunati. Moemo se posluiti jednostavnom metodom: generiramo odreen broj bitova od 1 navie. Svaki bit koji je potencija broja 2 je zatitni; preostali su podatkovni. Stanemo kada smo generirali dovoljan broj podatkovnih bitova (12 jer naa poruka ima toliko bitova). Zatim ispod svakog bita napiemo njegov redni broj u binarnom obliku (vidi tablicu). 'C' oznaava da je bit zatitni, 'P' oznaava da je bit podatkovni. U ovom zadatku bitovi se numeriraju s lijeva na desno poevi od 1.

  • 30 Zbirka rijeenih zadataka

    C C P C P P P C P P P P P P P C P 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 1720 21 22 23 24 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1

    Potrebno je poslati ukupno 17 bitova kako bismo mogli sigurno prenijeti 12 bitova poruke (sigurno u smislu mogunosti ispravljanja jednostruke pogreke).

    Vrijednost zatitnog bita izraunamo na slijedei nain: Pronaemo u tablici onaj redak u kojem ispod traenog zatitnog bita imamo 1. Suma modulo 2 (to je ekvivalentno operaciji iskljuivo ili) tog bita sa svim

    bitovima koji u tom istom retku imaju 1 mora zadovoljavati traeni paritet (dakle, biti 0 za parni paritet, odnosno 1 za neparni paritet).

    Upisujemo poruku:

    C C P C P P P C P P P P P P P C P 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17? ? 1 ? 0 1 1 ? 0 0 0 1 1 0 1 ? 0

    (? oznaava da na ovom mjestu jo ne znamo vrijednost odreenog bita)

    C(1) ima 1 u petom (zadnjem) retku. U tom retku 1 imaju jo i P(3), P(5), P(7), P(9), P(11), P(13), P(15), P(17). Sumiramo vrijednosti svih navedenih P-bitova: 1+0+1+0+0+1+1+0 mod 2 = 4 mod 2 = 0, te je C(1) = 0. Da se trai neparan paritet, C(1) bi bio komplement dobivenog rezultata, dakle 1.

    C(2) ima 1 u etvrtom (predzadnjem) retku. U tom retku 1 imaju jo i P(3), P(6), P(7), P(10), P(11), P(14), P(15). Sumiramo vrijednosti svih navedenih P-bitova: 1+1+1+0+0+0+1 mod 2 = 4 mod 2 = 0, te je C(2) = 0. Da se trai neparan paritet, C(2) bi bio komplement dobivenog rezultata, dakle 1.

    C(4) ima 1 u treem retku. U tom retku 1 imaju jo i P(5), P(6), P(7), P(12), P(13), P(14), P(15). Sumiramo vrijednosti svih navedenih P-bitova: 0+1+1+1+1+0+1 mod 2 = 5 mod 2 = 1, te je C(4) = 1. Da se trai neparan paritet, C(4) bi bio komplement dobivenog rezultata, dakle 0.

    C(8) ima 1 u drugom retku. U tom retku 1 imaju jo i P(9), P(10), P(11), P(12), P(13), P(14), P(15). Sumiramo vrijednosti svih navedenih P-bitova: 0+0+0+1+1+0+1 mod 2 = 3 mod 2 = 1, te je C(8) = 1. Da se trai neparan paritet, C(8) bi bio komplement dobivenog rezultata, dakle 0.

    C(16) ima 1 u prvom retku. U tom retku 1 imaju jo i P(17). Sumiramo vrijednosti svih navedenih P-bitova: 0 mod 2 = 0, te je C(16) = 0. Da se trai neparan paritet, C(16) bi bio komplement dobivenog rezultata, dakle 1.

  • Zatitno kodiranje. Brojevni sustavi. 31

    Sumu modulo 2 obavlja operacija iskljuivo ili, koju emo u nastavku oznaavati sa XOR to je uobiajena oznaka za ovu operaciju u VHDLu koji emo obraditi neto kasnije. Za parni paritet moemo pisati:

    C(1)P(3)P(5)P(7)P(9)P(11)P(13)P(15)P(17)=0 C(2)P(3)P(6)P(7)P(10)P(11)P(14)P(15)=0 C(4)P(5)P(6)P(7)P(12)P(13)P(14)P(15)=0 C(8)P(9)P(10)P(11)P(12)P(13)P(14)P(15)=0 C(16)P(17)=0

    to nakon jo jedne primjene XOR operacije s C(i) daje:

    C(1)=P(3)P(5)P(7)P(9)P(11)P(13)P(15)P(17) C(2)=P(3)P(6)P(7)P(10)P(11)P(14)P(15) C(4)=P(5)P(6)P(7)P(12)P(13)P(14)P(15) C(8)=P(9)P(10)P(11)P(12)P(13)P(14)P(15) C(16)=P(17)

    Da se je traio neparni paritet imali bismo npr.: C(1)P(3)P(5)P(7)P(9)P(11)P(13)P(15)P(17)=1 to bi dalo nakon primjene XOR s C(1) na lijevoj i desnoj strani jednakosti: C(1)=Komplement_od( P(3)P(5)P(7)P(9)P(11)P(13)P(15)P(17) ) Slino i za ostale zatitne bitove

    Niz zatitnih bitova: C(16) C(8) C(4) C(2) C(1) = 01100.

    Zatiena poruka tada glasi: 00110111000110100.

    Ako se promjeni 12-ti bit, nova poruka glasi: 00110111000010100.

    Raunanjem zatitnih bitova dobiti emo: C'(16) = 0, C'(8) = 0, C'(4) = 0, C'(2) = 0, C'(1) = 0, te novi niz zatitnih bitova glasi: 00000.

    Niz zatitnih bitova oitan iz dobivene poruke je: 01100, te je pogreka nastupila na mjestu koje odreuje sindrom: oitani niz zatitnih bitova XOR izraunati niz zatitnih bitova = 01100 XOR 00000 = 01100.

    Pogreka je nastupila na mjestu 01100(2)=12(10). Da bismo ispravili pogreku, potrebno je 12. bit komplementirati pa je ispravna zatiena poruka: 00110111000110100, odnosno bio je poslan niz bitova: 101100011010.

  • 32 Zbirka rijeenih zadataka

    2.5. Zadatak

    Blok od 8000 okteta alje se komunikacijskim medijem. Koliko je zatitnih bitova potrebno, i kolika je redundancija, ako za zatitu koristimo:

    a) uzduni plus popreni paritet b) Hammingov kod

    a) Uporaba uzdunog plus poprenog pariteta

    Poredajmo podatkovne bitove u tablicu koja ima jedan oktet po retku. trebamo 8000 paritetnih bitova za uzduni paritet, i 8 paritetnih bitova za popreni paritet. Ukupno trebamo: r = 8000 + 8 + 1 = 8009 paritetnih bitova (+1 zbog gornjeg desnog bita tablice). Poslati emo n = 80008 + 8009 = 72009 bitova. Redundancija je:

    111,0720098009

    ===

    n

    rR

    to je vie od 11%.

    b) Uporaba Hammingovog koda

    Ako titimo blok od k = 80008 = 64000 bitova, broj paritetnih bitova mora biti:

    12 + nr

    Zato? Promatramo li niz zatitnih bitova kao r-bitni binarni broj Cr-1Cr-2C1C0, taj broj mora moi poprimiti poziciju na kojoj je dolo do pogreke, ili vrijednost nula ako niti na jednoj poziciji nije dolo do pogreke. Kako titimo n bita, slijedi da zatitni broj mora moi poprimiti vrijednosti: 0, 1, 2, ..., n-1, n tj. ukupno n+1 vrijednost.

    Napisano na drugi nain:

    12 ++ rkr

    Prvi r koji ovo zadovoljava jest r=16:

    640171166400065536216 =++=

    Ukupno emo prenijeti n = 64000+16 = 64016 bitova, pa je redundancija:

    00025,064016

    16===

    n

    rR

    to je viestruko manje od uzdunog plus poprenog pariteta.

    o1 on p1,1 p1,8 v1

    p8000,1 p8000,8 vn

    Za vjebu. Rijeite a) dio zadatka tako da podatkovne bitove sloite na nain koji e potroiti minimalno potreban broj zatitnih bitova. Koliko tada iznosi redundancija? Usporedite s rjeenjem b) dijela zadatka.

  • Zatitno kodiranje. Brojevni sustavi. 33

    2.6. Zadatak

    Potrebno je zatititi etiri podatka: 00, 01, 10 i 11. Podaci se tite s etiri zatitna bita, tako da se postavi jedinica na mjesto koje odgovara numerikoj vrijednosti podatka. Koliko se pogreaka takvim kodiranjem moe otkriti, a koliko ispraviti? Pretpostaviti da je uslijed djelovanja pogreaka primljena poruka d=000101 (zatitni su bitovi nakon korisnih). Neka kriterij za otkrivanje ispravne poruke bude minimalna distanca koda.

    Napiimo sve kodne rijei u zadanom kodu:

    Bitovi poruke Zatitni bitovi Podatak d1 d0 c3 c2 c1 c0 0 0 0 0 0 1 p0 0 1 0 0 1 0 p1 1 0 0 1 0 0 p2 1 1 1 0 0 0 p3

    Izraunajmo minimalnu distancu koda (najmanji broj bitova koji se razlikuju izmeu dvije kodne rijei):

    Minimalna distanca je dakle: min d = 3.

    Zahtjev za otkrivanje pogreke glasi: min d t + 1, t je broj pogrenih bitova koje moemo otkriti.

    3 t + 1 t 2: moemo otkriti dva pogrena bita.

    Zahtjev za ispravljanje pogreke glasi: min d 2t + 1, t je broj pogrenih bitova koje moemo ispraviti.

    3 2t + 1 t 1: moemo ispraviti jedan pogrean bit.

    Npr. ako je primljena poruka d=000101: najblia kodna rije je 000001 s distancom 1 (sve ostale imaju veu distancu do d), pa zakljuujemo da je to poslana kodna rije.

    2.7. Zadatak

    Potrebno je zatititi slijedei niz bitova: 1010100000101010101. Potrebno je koristiti Hammingov kod uz neparni paritet. Pogreka neka djeluje na 10. bit.

    Niz koji treba poslati je oblika: xx1x010x1000001x01010101, gdje x oznaava pozicije zatitnih bitova.

    Potrebno je 5 zatitnih bitova.

    C(1)=Komplement( P(3)P(5)P(7)P(9)P(11)P(13)P(15)P(17)P(19)P(21)P(23)

    )

    p0 - p1 3 p0 - p2 3 p0 - p3 4 p1 - p2 4 p1 - p3 3 p2 - p3 3

  • 34 Zbirka rijeenih zadataka

    C(2)=Komplement( P(3)P(6)P(7)P(10)P(11)P(14)P(15)P(18)P(19)P(22)P(23)

    ) C(4)=Komplement(

    P(5)P(6)P(7)P(12)P(13)P(14)P(15)P(20)P(21)P(22)P(23) ) C(8)=Komplement(

    P(9)P(10)P(11)P(12)P(13)P(14)P(15)P(24) ) C(16)=Komplement(

    P(17)P(18)P(19)P(20)P(21)P(22)P(23)P(24) )

    Izraunati niz zatitnih bitova: 10100. Zatieni niz bitova: 001101001000001101010101. Niz nakon djelovanja pogreke: 001101001100001101010101. Oitani niz zatitnih bitova: 10100. Izraunati niz zatitnih bitova: 11110. Pogreka je na 10100 XOR 11110 = 01010 = 10(10). Niz nakon ispravljanja pogreke: 001101001000001101010101.

    2.8. Zadatak

    Potrebno je zatititi slijedei niz bitova: 100110000010100. Potrebno je koristiti Hammingov kod uz parni paritet. Pogreka neka djeluje na 19. bit.

    Potrebno je 5 zatitnih bitova.

    C(1)=P(3)P(5)P(7)P(9)P(11)P(13)P(15)P(17)P(19) C(2)=P(3)P(6)P(7)P(10)P(11)P(14)P(15)P(18)P(19) C(4)=P(5)P(6)P(7)P(12)P(13)P(14)P(15)P(20) C(8)=P(9)P(10)P(11)P(12)P(13)P(14)P(15) C(16)=P(17)P(18)P(19)P(20)

    Izraunati niz zatitnih bitova: 10000. Zatieni niz bitova: 00100010100000110100. Niz nakon djelovanja pogreke: 00100010100000110110. Oitani niz zatitnih bitova: 10000. Izraunati niz zatitnih bitova: 00011. Pogreka je na 10000 XOR 00011 = 10011 = 19(10). Niz nakon ispravljanja pogreke: 00100010100000110100.

    2.9. Zadatak

    Potrebno je zatititi slijedei niz bitova: 1111010001. Potrebno je koristiti Hammingov kod uz neparni paritet. Pogreka neka djeluje na 12. bit.

    Potrebno je 4 zatitnih bitova.

  • Zatitno kodiranje. Brojevni sustavi. 35

    C(1)=Komplement( P(3)P(5)P(7)P(9)P(11)P(13) ) C(2)=Komplement( P(3)P(6)P(7)P(10)P(11)P(14) ) C(4)=Komplement( P(5)P(6)P(7)P(12)P(13)P(14) ) C(8)=Komplement( P(9)P(10)P(11)P(12)P(13)P(14) )

    Izraunati niz zatitnih bitova: 1100. Zatieni niz bitova: 00111111010001. Niz nakon djelovanja pogreke: 00111111010101. Oitani niz zatitnih bitova: 1100. Izraunati niz zatitnih bitova: 0000. Pogreka je na 1100 XOR 0000 = 1100 = 12(10). Niz nakon ispravljanja pogreke: 00111111010001.

    2.10. Zadatak

    Poznato je da je 001100(2) ispravna Hammingova kodna rije. Napiite sve preostale kodne rijei koje pripadaju tom kodu.

    Kako bismo otkrili o kojem se Hammingovom kodu radi, potrebno je utvrditi broj podatkovnih bitova u rijei, broj zatitnih bitova u rijei te koriteni paritet. Krenimo redom. Poznato je da navedena rije pripada (nekom) Hammingovom kodu. To znai da je oblika:

    C C P C P P 1 2 3 4 5 6 ? ?

    ?

    Jednu kodnu rije ve znamo, pa je moemo upisati:

    C C P C P P 1 2 3 4 5 6 0 0 1 1 0 0

    Takoer znamo da je rije ispravna. Ako je koriten parni paritet, tada mora vrijediti:

    C(1)=P(3)P(5) C(2)=P(3)P(6) C(4)=P(5)P(6)

    Provjerimo to.

    C(1) = P(3)P(5) = 10 = 1 0 (proitano iz tablice) C(2) = P(3)P(6) = 10 = 1 0 (proitano iz tablice) C(4) = P(5)P(6) = 00 = 0 1 (proitano iz tablice)

    Uz uporabu parnog pariteta nismo dobili zatitne bitove kakvi se nalaze u zadanoj kodnoj rijei. tovie, dobili smo tono njihove komplemente, to upuuje na uporabu

  • 36 Zbirka rijeenih zadataka

    neparnog pariteta. Provjerimo dakle koje bismo zatitne bitove dobili da je koriten neparni paritet. Tada mora vrijediti:

    C(1)=Komplement(P(3)P(5))=Komplement(10)=Komplement(1)=0 C(2)=Komplement(P(3)P(6))=Komplement(10)=Komplement(1)=0 C(4)=Komplement(P(5)P(6))=Komplement(00)=Komplement(0)=1

    Vidimo da zatitni bitovi izraunati uz pretpostavku neparnog pariteta odgovaraju zatitnim bitovima u zadanoj kodnoj rijei, pa zakljuujemo da se radi o Hammingovom kodu s neparnim paritetom.

    Iz zadane kodne rijei takoer moemo proitati i koja je podatkovna rije zatiena. Radi se o rijei 100(2). Kako je to trobitna rije, postoji jo 7 moguih rijei: 000(2), 001(2), 010(2), 011(2), 101(2), 110(2) te 111(2). Kako sada znamo da trebamo koristiti neparni paritet, odnosno da mora vrijediti:

    C(1)=Komplement(P(3)P(5)) C(2)=Komplement(P(3)P(6)) C(4)=Komplement(P(5)P(6))

    moemo izraunati zatitne bitove i za preostale podatkovne rijei.

    Podatkovna rije Hammingova rije 000(2) 110100(2) 001(2) 100001(2) 010(2) 010010(2) 011(2) 000111(2) 100(2) 001100(2) 101(2) 011001(2) 110(2) 101010(2) 111(2) 111111(2)

    2.11. Zadatak

    Pretpostaviti da je kroz nesiguran komunikacijski kanal poslana Hammingova kodna rije, a primljena je kodna rije 000011011100101. Da li je nastupila pogreka? Na kojem mjestu, i kako se to matematiki moe izraunati? Kako glasi podatak koji je poslan (ako je najvii bit podatka spremljen na prvo mogue lijevo mjesto u Hammingovoj kodnoj rijei), i kolika je redundancija ovog kodiranja? Koriten je parni paritet.

    Primljeni niz bitova: 000011011100101. Oitani niz zatitnih bitova: 1000.

    Uz zadanu 15-bitnu rije i parni paritet vrijede izrazi:

    C(1)=P(3)P(5)P(7)P(9)P(11)P(13)P(15) C(2)=P(3)P(6)P(7)P(10)P(11)P(14)P(15)

  • Zatitno kodiranje. Brojevni sustavi. 37

    C(4)=P(5)P(6)P(7)P(12)P(13)P(14)P(15) C(8)=P(9)P(10)P(11)P(12)P(13)P(14)P(15)

    Izraunati niz zatitnih bitova na temelju ovih izraza glasi: 0010.

    Pogreka je tada na 1000 XOR 0010 = 1010 = 10(10).

    Dakle, pogreka je oito nastupila, i njezin poloaj odreen je temeljem razlike sindroma.

    Niz nakon ispravljanja pogreke: 000011011000101. Podatak koji je poslan: 01101000101.

    Redundancija kodiranja u ovom sluaju iznosi:

    27,0154

    ===

    n

    rR

    2.12. Zadatak

    Zadan je broj x=177,296875(10) (u bazi 10). Potrebno je taj isti broj zapisati u slijedeim bazama:

    a) 2 b) 8 c) 16

    a) Baza 2

    Broj je potrebno promatrati kao cijeli broj plus decimalni ostatak. Cijeli broj pretvara se u drugu bazu postupkom uzastopnog dijeljenja novom bazom, a decimalni ostatak postupkom uzastopnog mnoenja novom bazom.

    Pretvorba cijelog broja

    baza rezultat dijeljenja ostatak

    dijeljenja smjer itanja

    177 : 2 = 88 1 88 : 2 = 44 0 44 : 2 = 22 0 22 : 2 = 11 0 11 : 2 = 5 1 5 : 2 = 2 1 2 : 2 = 1 0 1 : 2 = 0 1

    Kod postupka uzastopnog mnoenja decimalni se dio uzastopno mnoi s bazom. Ukoliko rezultat mnoenja postane vei ili jednak 1, cijeli dio se pamti, a u nastavak mnoenja ulazi samo decimalni ostatak.

  • 38 Zbirka rijeenih zadataka

    Pretvorba decimalnog dijela broja

    baza rezultat dijeljenja cjelobrojni dio smjer itanja 0,296875

    2 = 0,59375 0 0,59375

    2 = 1,1875 1 0,1875

    2 = 0,375 0 0,375

    2 = 0,75 0 0,75

    2 = 1,5 1 0,5

    2 = 1 1 0

    Moemo pisati:

    177,296875(10) = 10110001,010011(2).

    b) Baza 8

    Ponovimo postupak opisan u a).

    Pretvorba cijelog broja baza rezultat dijeljenja

    ostatak dijeljenja

    smjer itanja 177 : 8 = 22 1 22 : 8 = 2 6 2 : 8 = 0 2

    Pretvorba decimalnog dijela broja baza rezultat dijeljenja cjelobrojni dio

    smjer itanja

    0,296875 8 = 2,375 2

    0,375 8 = 3 3 0

    Moemo pisati:

    177,296875(10) = 261,23(8).

    c) Baza 16

    Ponovimo postupak opisan u a).

    Pretvorba cijelog broja baza rezultat dijeljenja

    ostatak dijeljenja smjer itanja

    177 : 16 = 11 1 (1) 11 : 16 = 0 11 (B)

  • Zatitno kodiranje. Brojevni sustavi. 39

    Pretvorba decimalnog dijela broja baza rezultat dijeljenja cjelobrojni dio smjer itanja 0,296875

    16 = 4,75 4 (4) 0,75

    16 = 12 12 (C) 0

    Moemo pisati:

    177,296875(10) = B1,4C(16).

    2.13. Zadatak

    Potrebno je zapisati broj 261,23(8) u bazi:

    a) 2 b) 16

    Brojeve ije su baze potencije istog broja (npr. 8=23 i 2=21) moemo pretvarati iz baze u bazu razvojem odnosno grupiranjem.

    a) Baza 2

    Kako je 8=23, svaku emo znamenku broja zapisanog u bazi 8 pretvoriti u troznamenkasti broj prikazan u bazi 2 (tj. 21):

    2 6 1 , 2 3 (8) 010 110 001 , 010 011 (2)

    Dakle, 2(8) se razvije u 010(2); na isti nain razvijemo i ostale znamenke.

    261,23(8) = 010110001,010011(2) = 10110001,010011(2)

    b) Baza 16

    Kako je 16=24, za prikaz jedne znamenke trebamo 4 bita. Izvorno je broj zapisan u bazi 8=23, te za prikaz jedne znamenke treba 3 bita. Konverziju moemo obaviti posredno, prevodei broj metodom razvoja iz oktalnog (baza 8) u binarni zapis (baza 2), pa metodom grupiranja iz binarnog u heksadekadski zapis (baza 16).

    Pretvorba u binarni oblik:

    2 6 1 , 2 3 (8) 010 110 001 , 010 011 (2)

  • 40 Zbirka rijeenih zadataka

    Broj 10110001,010011(2) sada grupiramo u grupe od po etiri bita (poevi od decimalnog zareza na lijevo i desno). Na poetak i kraj broja moemo dodati proizvoljan broj nula (masnim slovima u nastavku), pa imamo:

    1011 0001 , 0100 1100 (2) B 1 , 4 C (16)

    tj. 261,23(8) = B1,4C(16).

    2.14. Zadatak

    Potrebno je obaviti slijedee pretvorbe:

    a) AE7,24(16) u dekadsku, binarnu i oktalnu bazu. b) 731,56(8) u dekadsku, binarnu i heksadekadsku bazu.

    a) AE7,24(16) = 10162+14161+7160+216-1+416-2 = 2791,140625(10). AE7,24(16) = 1010 1110 0111, 0010 0100(2) AE7,24(16) = 101 011 100 111, 001 001 000(2) = 5347,110(8)

    b) 731,56(8) = 782+381+180+58-1+68-2 = 473,71875(10) 731,56(8) = 111 011 001, 101 110(2) 731,56(8) = 0001 1101 1001, 1011 1000(2) = 1D9,B8(16)

    ZADACI ZA VJEBU

    1. Brojeve: 12.15, 253 i 0.275 prikazati u binarnom, oktalnom i heksadekadskom obliku. 2. Prijemnik je s komunikacijskog kanala primio sljedee poruke: 000111, 011101,

    111111. Ako je poznato da su primljene poruke podaci zatieni Hammingovim kodom, te uz pretpostavku da je broj pogrenih poruka manji od broja ispravnih poruka, otkriti da li je za kodiranje koriten parni ili neparni paritet, te koji su podaci poslani.

    3. Pismeni ispit 21.09.2004. Poruku ABBA potrebno je zatititi uporabom uzdunog + poprenog pariteta (koristiti neparni paritet). Za svako slovo alje se njegov 8-bitni ASCII kod (A ima kod 65). Prikazati zatienu poruku, te izraunati redundanciju kodiranja. Pokazati kako se obavlja ispravljanje ako se uslijed djelovanja smetnje promijeni najznaajniji bit prvog slova poruke.

  • Osnovni logiki sklopovi. 41

    3. Osnovni logiki sklopovi.

    3.1. Zadatak

    Na slici je prikazan sklop ostvaren uporabom I i ILI logikih sklopova.

    &

    1

    &

    a

    b

    c

    df

    Koju funkciju ostvaruje taj sklop?

    a) Napiite logiki izraz koji definira funkciju sklopa. b) Napiite VHDL opis temeljen na funkcijskom ponaanju sklopa. c) Napiite VHDL opis temeljen na strukturnoj grai sklopa.

    a) Oznaimo s i1 i i2 interne meurezultate.

    &

    1

    &

    a

    b

    c

    df

    i1

    i2

    Moemo pisati:

    bai =1 cii += 12

    ( ) ( ) dcbadcidif +=+== 12

    b) Oznaimo "granice" sklopa, ulaze u sklop te izlaze iz sklopa. Nazovimo sklop "sklop1".

    U VHDL-u opis sklopa sastoji se od dva dijela: opis suelja preko kojega sklop komunicira s vanjskim svijetom (ENTITY kljuna rije), te opis djelovanja sklopa (to je mogue ostvariti na dva naina: funkcijskim odnosno ponaajnim opisom te strukturnim opisom; ARCHITECTURE kljuna rije).

  • 42 Zbirka rijeenih zadataka

    &

    1

    &

    a

    b

    c

    df

    sklop1i1

    i2

    Opis sklopa u VHDL-u temeljen na funkcionalnosti sklopa prikazan je u nastavku.

    library IEEE; use IEEE.STD_LOGIC_1164.ALL;

    ENTITY sklop1 IS PORT( a, b, c, d: IN std_logic; f : OUT std_logic ); END sklop1;

    ARCHITECTURE funkcijska OF sklop1 IS BEGIN f

  • Osnovni logiki sklopovi. 43

    Opis I sklopa:

    library IEEE; use IEEE.STD_LOGIC_1164.ALL;

    ENTITY sklopAND IS PORT( x1, x2: IN std_logic; y : OUT std_logic ); END sklopAND;

    ARCHITECTURE funkcijskaAND OF sklopAND IS BEGIN y d, x1 => i2, y => f); -- povezivanje -- putem imena END strukturna;

    Kod povezivanja signala komponenti pomou kojih se opisuje struktura sklopa s internim signalima koje koristi sam sklop ili s njegovim ulaznim/izlaznim vratima (kljuna rije PORT MAP) mogue je povezivanje na dva naina. Kod pozicijskog povezivanja navode se samo signali komponente koja se opisuje, a povezivanje je implicitno s vratima komponente i to onim redoslijedom kako je to definirano u COMPONENT dijelu opisa. Kod povezivanja preko imena eksplicitno se navodi koji signal komponente se spaja na koji signal sklopa, pri emu redoslijed ovog nabrajanja ne mora slijediti redoslijed definiran u COMPONENT dijelu.

    Dodatak zadatku: kako bi se provjerilo da li se opisana komponenta doista ponaa u skladu s naim oekivanjima, potrebno je obaviti testiranje rada komponente (npr. putem generiranja test uzoraka s poznatim izlazima i provjerom podudarnosti s izlazima koje daje sklop za te uzorke).

  • 44 Zbirka rijeenih zadataka

    Za vjebu. Ponovite zadatak za sklop prikazan na slijedeoj slici (sklop2). Provjerite uporabom Xilinx-ovog simulatora s laboratorijskih vjebi da li su opisi koje ste generirali rjeavajui b) i c) dio zadatka jednaki na ponaajnoj razini.

    &

    &1

    1

    1

    a

    b

    c

    f

    3.2. Zadatak

    Zadana je tranzistorska sklopka prema slici. Poznati su slijedei podaci:

    VUU BBCC 5== = kRC 1 = kR 101

    = kR 1002

    50=FEh VUCEzas 3.0= VUBEzas 8.0= AICB 1.00 =

    VUul 41 = VUul 3.02 =

    a) Provjeriti da li tranzistor ulazi u zasienje i zapiranje prema oekivanju.

    b) Neka su tolerancije svih otpornika i izvora napajanja 10%. Provjerite da li i u najgorem sluaju sklopka funkcionira ispravno.

    a) Kada je na ulaz sklopa spojena visoka naponska razina (Uul1) oekujemo da tranzistor vodi (tovie, da se nalazi u zasienju) i da je izlazni napon Uizl vrlo mali. Kada je tranzistor u zasienju, napon baza emiter iznosi otprilike 0.8V (UBEzas), a napon kolektor emiter otprilike 0.3V (UCEzas). Kada na ulaz sklopa spojimo nisku naponsku razinu, oekujemo da tranzistor ode u zapiranje i ne vodi, ime izlazni napon skae otprilike na iznos od +UCC (ukoliko je izlaz neoptereen). Provjerit emo ova dva sluaja koristei se oznakama napona i struja kao na slici.

    Provjera zasienja.

    U zasienju, na ulazu je Uul=Uul1, UBE=UBEzas, UCE=UCEzas, dok ICB0 ne postoji.

    +UCC

    -UBB

    1R

    2R

    Uul

    Uizl

    CR

    +UCC

    -UBB

    1R

    2RUBE

    1I2I

    0CBI

    Uul

    UizlBI

    CR

  • Osnovni logiki sklopovi. 45

    Tranzistor je u zasienju kada vrijedi:

    FE

    CB h

    II

    odnosno kada je bazna struja barem dovoljna (a poeljno i vea) od struje potrebne za potporu kolektorske struje. Zato je potrebno izraunati ove struje i provjeriti da li prethodna nejednadba vrijedi.

    mARUU

    RUU

    RU

    RU

    III BEzasBBBEzasulRRB 262.021

    1

    2121

    21=

    +

    ===

    mAR

    UUIC

    CEzasCCCzas 7.4=

    =

    mAmAmA 094.0507.4262.0 =

    Vidimo da je nejednakost zadovoljena, pa je tranzistor doista u zasienju.

    Provjera zapiranja.

    U zapiranju, na ulazu je Uul=Uul2, UBE=UBezap=? dok ICB0 sada postoji. U tom sluaju struju I2 moemo odrediti superpozicijom, pa zatim napon UBezap iznosi:

    BBBEzap URIU = 22

    Superpozicija kae da struju I2 moemo odrediti tako da gledamo utjecaj jednog po jednog naponskog odnosno strujnog izvora (dok su ostali naponski izvori kratko spojeni a strujni izvori otpojeni). U ulaznom krugu imamo slijedee naponske izvore: Uul2 i UBB te strujni izvor ICB0. Moemo pisati:

    21

    10

    2121

    22 RR

    RIRR

    URR

    UI CBBBul ++

    ++

    +=

    Uvrtavanjem dobivamo:

    VURRR

    RIRR

    URR

    UU BBCBBBulBEzap 18.0221

    10

    2121

    2=

    ++

    ++

    +=

    Utjecaj Uul2 kada je UBB kratko spojen a ICB0 otpojen

    Utjecaj UBB kada je Uul2 kratko spojen a ICB0 otpojen

    Utjecaj ICB0 (strujno djelilo!) kada su Uul2 i UBB kratko spojeni

  • 46 Zbirka rijeenih zadataka

    Tranzistor je sigurno u zapiranju jer je UBEzap manji od napona praga voenja U koji iznosi oko 0.3V.

    b) Uz zadane tolerancije potrebno je ponovno provjeriti da li je tranzistor u zasienju odnosno u zapiranju, ovisno o ulaznom naponu.

    Provjera zasienja.

    Najnepovoljniji sluaj za zasienje je kada je struja baze minimalna, a struja kolektora maksimalna, jer se tada moe dogoditi da vie ne vrijedi odnos:

    FE

    CB h

    II

    Minimalne vrijednosti oznaavat emo podvlakom, a maksimalne crticom iznad vrijednosti. Minimalna struja baze odnosno maksimalna struja zasienja kada bi tranzistor trebao biti u zasienju iznose (prepisujemo prethodne formule):

    mARUU

    RUU

    RUU

    RUU

    RU

    R

    UIII

    BEzasBBBEzasul

    BEzasBBBEzasulRRBzas

    221.0)1.01()1.01(

    )1.01( 211

    21

    1

    2121

    21

    =

    ++

    +

    =

    +

    ===

    mAR

    UU

    RUUI

    C

    CEzasCC

    C

    CEzasCCCzas

    78.5)1.01()1.01(

    =

    +=

    =

    Vidimo da je tranzistor jo uvijek u zasienju, jer vrijedi:

    mAmAmA 1156.050

    78.5221.0 =

    Provjera zapiranja.

    VURRR

    RIRR

    URR

    U

    URRR

    RI

    RR

    URR

    UU

    BBCBBBul

    BBCBBBul

    BEzap

    062.0)1.01()1.01()1.01()1.01()1.01(

    )1.01()1.01()1.01(

    )1.01()1.01( 2211

    02121

    2

    221

    10

    2121

    2

    =+

    ++

    +++

    +++

    =

    ++

    ++

    +=

    Vidimo da je tranzistor i u najgorem sluaju sigurno u zapiranju jer je UBEzap manji od napona praga voenja U.

  • Osnovni logiki sklopovi. 47

    3.3. Zadatak

    Diodni I sklop spojen je s invertorom iz prethodnog zadatka kojemu je na ulaz dodana dioda.

    a) Provjerite da li taj sklop funkcionira i koju logiku funkciju ostvaruje. b) Ako na izlaz tog sklopa spojimo ulaze identinih sklopova, postoji li kakvo ogranienje na njihov broj? Objasnite. c) Ponovite analizu za tolerancije otpora od 10%.

    +UCC

    -UBB

    1R

    2RU

    ul

    Uizl

    CR

    R

    +UCC

    Uizl

    UulA

    UulB

    Invertor s dodanom diodom Diodni I sklop

    +UCC

    -UBB

    1R

    2R

    Uizl

    R

    +UCC

    UulA

    UulB

    CR

    Diodni I sklop spojen na invertor s dodanom diodom

    Poznati su slijedei podaci:

    VUU BBCC 5== = kRC 1 = kR 101

    = kR 1002

    100=FEh VUCEzas 3.0= VUBEzas 8.0=

    AICB 00 =

    VUul 51 = VUul 3.02 =

    = kR 1

    a) Sklop najprije rauna logiku I operaciju ulaza, a zatim rezultat komplementira invertorom. Dakle, logika funkcija sklopa je NE( A I B ), tj.:

    BABAf +==

  • 48 Zbirka rijeenih zadataka

    Analizirajmo rad sklopa. Oznaimo na slici dodatne napone koji e nam pomoi u analizi sklopa.

    +UCC

    -UBB

    1R

    2RUAB

    Uizl

    R

    +UCC

    UulA

    UulB UD

    D

    UBE

    RICR

    Kada je na jednom ulazu sklopa niska razina, npr. UulA = Uul2 = 0.3V (vrijednost drugog ulaza neka je visoka razina), dotina dioda provede i na njoj je pad napona od 0.7V. Tada je:

    VUUU DulAAB 17.03.0 =+=+=

    Treba uoiti da druga dioda ne vodi jer je na njoj pad napona:

    VVUU ulBAB 7.0451

  • Osnovni logiki sklopovi. 49

    +UCC

    -UBB

    1R

    2RUAB

    Uizl

    R

    +UCC

    UulA

    UulB UD 1I

    D

    UBE2IBI

    CR

    Struje I1, I2 te IB iznose:

    mAkkRR

    UUUI BEzasDCC 3182.0101

    8.07.051

    1 =+

    =

    +

    =

    mAkR

    UUI BBBEzas 058.0100

    58.02

    2 =+

    =+

    =

    mAmmIIIB 2602.0058.03182.021 ===

    Napon UAB iznosi:

    VkmRIUU CCAB 6818.413182.051 ===

    Budui da tranzistor vodi, struja kolektora iznosi:

    mAkR

    UUIC

    CEzasCCC 7.41

    3.05=

    =

    =

    Provjerimo da je tranzistor doista u zasienju:

    mAIhImA BFEC 02.267.4 ==

    b) Promotrimo najprije situaciju kada je izlaz iz sklopa visoka naponska razina, tj. kada je barem jedan od ulaza u sklop spojen na nisku naponsku razinu:

  • 50 Zbirka rijeenih zadataka

    +UCC

    -UBB

    1R

    2RUAB

    Uizl

    R

    +UCC

    UulA

    UulB UD

    D

    UBE

    RI

    R

    +UCC

    R

    +UCC

    1

    n

    1I

    1I

    CR

    Kako je Uizl visoka naponska razina, ulazne diode slijedeeg stupnja ne vode. Struja kolektora tada je nula, i broj ulaza slijedeeg stupnja koji je spojen nema nikakvog utjecaja.

    Ako se na oba ulaza spoji visoka naponska razina, imamo slijedeu situaciju:

    +UCC

    -UBB

    1R

    2RUAB

    Uizl

    R

    +UCC

    UulA

    UulB UD

    D

    UBE

    R

    +UCC

    RI

    R

    +UCC

    RI

    1

    n

    1ICR

    Struje I1, I2 i IB izraunali smo ve u prethodnom dijelu zadatka. Meutim, sada struja kolektora izlaznog tranzistora vie nije uvjetovana samo Strujom kroz otpor RC, ve i strujama IR koje teku iz ulaznog stupnja sklopova povezanih na izlaz! Struja kolektora tada iznosi:

    RC

    CEzasCCC InR

    UUI +=

    Struja IR iznosi:

    mAR

    UUUI CEzasDCCR 4=

    =

  • Osnovni logiki sklopovi. 51

    Uvrtavanjem izraza za IR u izraz za IC slijedi:

    RUUU

    nR

    UUI CEzasDCCC

    CEzasCCC

    +

    =

    Prisjetimo se, da bi tranzistor bio u zasienju, struja kolektora IC ograniena je strujom baze IB! Mora vrijediti:

    BFEC IhI

    Uvrstimo li izraz za IC u prethodnu nejednadbu, moemo izraziti n:

    BFECEzasDCC

    C

    CEzasCC IhR

    UUUn

    RUU

    +

    33.5=

    RUUUR

    UUIhn

    CEzasDCC

    C

    CEzasCCBFE

    Zakljuujemo da n mora biti manji ili jednak 5 da bi sklop ispravno funkcionirao. Ukoliko bi n bio vei od 5, struja kolektora bila bi prevelika za struju baze te bi, da se uspostavi ravnotea, tranzistor izaao iz zasienja i uao u aktivno podruje, ime bi se napon UCE znatno poveao. Poveanje ovog napona bilo bi toliko koliko je potrebno da se struja kolektora izjednai sa strujom koju moe podrati bazna struja, odnosno dok ne bi vrijedilo:

    BFEC IhI =

    Naime, uvidom u izraz koji opisuju IC, vidi se da je ta struja graena od komponente kroz otpor RC i struje IR (tj. n IR). Obje ove komponente padaju poveanjem napona UCE.

    U digitalnoj elektronici ogranienje ovog tipa naziva se fan-out, odnosno faktor grananja na izlazu koji govori koliko se maksimalno ulaza istovjetnih sklopova moe spojiti na izlaz sklopa, a da sklop i dalje funkcionira ispravno.

    c) Kada je barem jedan od ulaza u sklop na niskoj naponskoj razini, tranzistor je u zapiranju, i ve smo vidjeli da nema posebnih ogranienja na rad sklopa.

    Kada su oba ulaza na visokoj naponskoj razini, tranzistor e provesti. U najgorem sluaju. Struja baze biti e minimalna, a struja kroz kolektor tranzistora maksimalna. Izraunajmo te struje za najgori sluaj.

    2121 R

    UURR

    UUUIII BBBEzasBEzasDCCB+

    +

    ==

    Minimalna struja baze bit e (uz tolerancije otpora):

  • 52 Zbirka rijeenih zadataka

    mAR

    UURR

    UUUIII BBBEzasBEzasDCCB 2248.021

    21 =+

    +

    ==

    Struja kolektora odreena je sa:

    RUUU

    nR

    UUI CEzasDCCC

    CEzasCCC

    +

    =

    to je maksimalno ako su svi otpori R sklopova spojenih na izlaz minimalni, kao i otpor RC u izlaznom krugu tranzistora.

    RUUU

    nR

    UUI CEzasDCCC

    CEzasCCC

    +

    =

    Uvrtavanjem u nejednadbu:

    BFEC IhI

    moe se izraunati n:

    883.3=

    RUUUR

    UUIhn

    CEzasDCC

    C

    CEzasCCBFE

    Dakle, ako se dopuste tolerancije otpora od 10%, u najgorem sluaju faktor grananja iznosi samo 3 sklopa!

    3.4. Zadatak

    Za neki logiki sklop zadani su sljedei podaci: UILmax = 2V, UIHmin = 3.5V, UOLmax = 0.5V, UOHmin = 4.2V. Odredite granice istosmjerne smetnje tog sklopa. Ako se izlaz sklopa pri niskoj naponskoj razini moe nadomjestiti otporom RL = 100 prema masi, a izlaz sklopa pri visokoj naponskoj razini otporom RH = 1000 prema napajanju UCC, izraunajte koliko iznose struje IOLmax i IOHmax. Neka je UCC = 5V. Ako je poznato da su IIHmax = 0.16 mA te IILmax = 0.8 mA, izraunajte koliko iznosi faktor grananja izlaza (fan-out) zadanog sklopa.

    UILmax predstavlja maksimalni napon koji e se na ulazu jo uvijek protumaiti kao niska naponska razina. UOLmax predstavlja maksimalnu vrijednost napona koju e sklop generirati na izlazu u sluaju kada je izlaz nizak. UOLmax tipino je manji od UILmax, a njihova razlika ini granicu istosmjerne smetnje pri niskoj razini:

    VUUU OLILLGS 5.15.02maxmax, ===

  • Osnovni logiki sklopovi. 53

    UIHmin predstavlja minimalni potreban napon na ulazu koji e se protumaiti kao visoka naponska razina. UOHmin predstavlja minimalnu vrijednost napona koju e sklop generirati na izlazu u sluaju kada je izlaz visok. UOHmin tipino je vei od UIHmin, a njihova razlika ini granicu istosmjerne smetnje pri visokoj razini:

    VUUU IHOHHGS 7.05.32.4minmin, ===

    Granica istosmjerne smetnje tada se definira kao manja od ove dvije vrijednosti, pa vrijedi:

    VUUU HGSLGSGS 7.0)7.0,5.1min(),min( ,, ===

    Struju IOLmax moemo izraunati iz sljedeeg razmatranja. Po pretpostavci zadatka izlaz sklopa u sluaju kada je na izlazu niska naponska razina moe se nadomjestiti otporom RL prema masi, kao to je prikazano na nadomjesnoj shemi (slika 1-a).

    RL Uizl

    IOLmax

    UOLmax

    +UCC

    Uizl

    RHIOHmax

    UOHmin

    a) izlaz je L b) izlaz je H Slika 1. Nadomjesna shema izlaznog stupnja sklopa

    Struja IOL ulazi u sklop i na otporu RL stvara pad napona LOLizl RIU = podiui tako napon na izlazu sklopa. Kako taj napon ne smije prerasti UOLmax koji je unaprijed zadan, moe se izraunati kolika je maksimalna struja IOL koja e na izlazu podii napon na UOLmax, i tu emo struju nazvati IOLmax:

    mAR

    UIRIU

    L

    OLOLLOLOL 5100

    5.0maxmaxmaxmax ====

    Struju IOHmax moemo izraunati koristei pretpostavku da se izlaz sklopa u sluaju kada je na izlazu visoka naponska razina moe se nadomjestiti otporom RH prema napajanju, kao to je prikazano na nadomjesnoj shemi (slika 1-b). Struja IOH izlazi iz sklopa i na otporu RH stvara pad napona ruei tako napon na izlazu sklopa HOHCCizl RIUU = . Kako taj napon ne smije pasti ispod UOHmin koji je unaprijed zadan, moe se izraunati kolika je maksimalna struja IOH koja e na izlazu spustiti napon na UOHmin, i tu emo struju nazvati IOHmax:

    mARUU

    IRIUUH

    OHCCOHHOHCCOH 8.01000

    8.01000

    2.45minmaxmaxmin ==

    =

    ==

  • 54 Zbirka rijeenih zadataka

    Prilikom izrauna faktora grananja izlaza zapravo nas zanima koliko istovjetnih sklopova moemo prikljuiti na izlaz jednog sklopa (a da sklop, dakako, radi ispravno). Tu moramo provjeriti opet dva sluaja.

    Kada je izlaz sklopa na niskoj naponskoj razini, on moe primati struju ne veu od IOLmax. No u tom sluaju iz svakog od ulaza sklopova prikljuenih na izlaz promatranog sklopa u najgorem sluaju dolazi struja IILmax. Kako za ispravan rad sklopa suma tih struja ne smije prekoraiti iznos IOLmax slijedi:

    25.68.05

    max

    max

    maxmax ===IL

    OLLOLILL I

    InIIn

    nL je faktor grananja izlaza za sluaj kada je napon na izlazu nizak.

    Kada je izlaz sklopa na visokoj naponskoj razini, on moe davati struju ne veu od IOHmax. U tom sluaju u svaki od ulaza sklopova prikljuenih na izlaz promatranog sklopa u najgorem sluaju ulazi struja IIHmax. Kako za ispravan rad sklopa suma tih struja ne smije prekoraiti iznos IOHmax slijedi:

    516.08.0

    max

    max

    maxmax ===IH

    OHHOHIHH I

    InIIn

    nH je faktor grananja izlaza za sluaj kada je napon na izlazu visok.

    Kako se faktor grananja definira kao najvei broj ulaza sljedeeg stupnja pri kojem svi sklopovi jo rade ispravno (neovisno o stanju izlaza), za njegov izraun treba uzeti manji od izraunatih faktora:

    5)5,25.6min(),min( === HL nnn

  • Osnovni logiki sklopovi. 55

    3.5. Zadatak

    Kao priprema za sljedee zadatke, izvedite izraz za izlazni napon naponskog dijelila zadanog slikama.

    UX

    R1

    R2

    +UCC

    UX

    R1

    R2

    +UCC

    U2

    a) jednostavno naponsko dijelilo b) naponsko dijelilo s dva izvora

    Sluaj a)

    Struja kroz dijelilo iznosi:

    21 RRU

    I CC+

    =

    Slijedi:

    21

    22 RR

    RURIU CCX +

    ==

    Sluaj b)

    Struja kroz dijelilo iznosi:

    21

    2

    RRUU

    I CC+

    =

    Slijedi:

    ( )( )

    21

    121

    21

    22222

    RRR

    UUURIU

    RRR

    UUURIUU

    CCCCCC

    CCX

    +==

    ++=+=

    3.6. Zadatak

    Na slici su prikazani neoptereeni/optereeni diodni I sklop kod kojeg su svi ulazu blokirani (ne utjeu na rad sklopa) osim jednog. Nacrtajte ovisnost napona UD o naponu Uul, ovisnosti svih struja u sklopu o naponu Uul te prijenosne karakteristike za sklopove sa slike. Pretpostavite da se napon Uul moe mijenjati od 0V do UCC=5V. Diodu promatrati kao idealni element (pri voenju UD=0.7V i otpor je 0, pri nevoenju predstavlja beskonani otpor). R=1k, RT=4k.

  • 56 Zbirka rijeenih zadataka

    +UCC

    R

    UXUul UD

    I

    +UCC

    R

    UXUul UD

    I

    RTI2

    I1

    a) neoptereen izlaz b) optereen izlaz

    Sluaj a)

    Krenimo u razmatranje od Uul=UCC. Napon na diodi tada iznosi:

    VUUUUU CCCCulXD 0===

    i dioda ne vodi. U sklopu takoer ne tee nikakva struja. Ponemo li smanjivati Uul, napon na diodi poinje rasti. Struje i dalje ne teku, i napon UX ostaje jednak UCC. Kada UD dostigne vrijednost od 0.7V, dioda poinje voditi. To se dakle dogodi kod ulaznog napona Uul*:

    VUUUVUUU DCCululCCD 3.47.057.0 * =====

    Daljnjim smanjivanjem napona Uul napon na diodi ostaje konstantnih 0.7V jer dioda vodi. To za posljedicu ima sputanje napona UX koji je do tada bio konstantan (iznosa UCC). Budui da dioda vodi, naponi UD, Uul i UX povezani su sljedeom relacijom:

    XDul UUU =+

    Sada kroz sklop tee struja I odreena relacijom:

    RUUU

    I ulDCC

    =

    Sputanjem napona Uul ova je struja sve vea, zbog ega se na otporu R stvara sve vei pad napona, te izlazni napon UX pada, prema relaciji:

    DulCCX UURIUU +==

    Kad napon Uul doe do nule, UX je minimalan a struja I maksimalna, te iznose:

    VUUU DulX 7.07.00 =+=+=

    mAkkRUUU

    I ulDCC 3.41

    3.41

    07.05==

    =

    =

  • Osnovni logiki sklopovi. 57

    Ovisnost napona UD o naponu Uul, ovisnost struje I o naponu Uul te ovisnost napona UX o naponu Uul (prijenosna karakteristika UX je izlazni napon) prikazane su u nastavku.

    Uul [V]

    UD [V]

    51 2 3 4 4.3

    1

    5

    4

    32

    Uul [V]

    UX [V]

    51 2 3 4 4.3

    1

    5

    4

    32

    0.7

    Uul [V]

    I

    [mA]

    51 2 3 4 4.3

    1

    5

    4

    32

    4.3

    0.7

    0

    0

    0

    Sluaj b)

    Krenimo u razmatranje od Uul=UCC. Otpori R i RT ine naponsko dijelilo, te uz pretpostavku da dioda ne utjee na napon UX, vrijedi:

    Vkkk

    RRR

    UUT

    TCCX 441

    45 =

    +=

    +=

    Napon na diodi tada iznosi:

    VUUU ulXD 154 === .

    Oito je da uz ovaj napon dioda doista ne vodi (pa time ne utjee na napon UX), te provedena analiza vrijedi. U ovom trenutku takoer vrijedi:

  • 58 Zbirka rijeenih zadataka

    mAImAkkRRU

    IIT

    CC 0,141

    512 ==+

    =

    +==

    Ponemo li smanjivati ulazni napon, napon na diodi e polagano rasti. Pri tome sve struje i napon UX ostaju nepromijenjeni, sve do trenutka kada napon na diodi dosegne vrijednost 0.7V. U tom trenutku dioda poinje voditi. To se dogaa za ulazni napon koji je za 0.7 V manji od napona dijelila:

    VUUU DXul 3.37.04 ===

    Daljnjim smanjivanjem ulaznog napona (a zbog voenja diode) napon UX poinje padati, slijedei ulazni napon prema formuli:

    DulX UUU +=

    Zbog toga se konano poinju mijenjati i struje u sklopu, te od ovog trenutka vrijede izrazi:

    IIIRU

    IR

    UUI

    T

    XXCC=+=

    = 212

    Napon na diodi ostaje konstantnih 0.7V. Smanjivanjem napona Uul do 0V napon UX takoer se linearno smanjuje do napona VUUU DulX 7.07.00 =+=+= , struja I raste do iznosa: ( ) ( )

    mAkkRUUU

    I DulCC 3.41

    3.41

    7.005==

    +=

    += ,

    struja I2 pada do iznosa

    mAkRU

    IT

    X 175.04

    7.02 === ,

    a struja I1 raste do vrijednosti:

    mAIII 125.4175.03.421 ===

    Ovisnost napona UD o naponu Uul, ovisnost struja I, I1 i I2 o naponu Uul te ovisnost napona UX o naponu Uul (prijenosna karakteristika UX je izlazni napon) prikazane su u nastavku.

  • Osnovni logiki sklopovi. 59

    Uul [V]

    UD [V]

    51 2 3 43.3

    1

    5

    4

    3

    2

    0.70

    -1

    Uul [V]

    UX [V]

    51 2 3 43.3

    1

    5

    4

    32

    0.7

    0

    Uul [V]

    I

    [mA]

    51 2 3 4

    1

    5

    4

    32

    4.3

    0 3.3

    Uul [V]

    I1 [mA]

    51 2 3 4 4.3

    1

    5

    4

    32

    4.125

    0 3.3

    Uul [V]

    I2 [mA]

    51 2 3 4 4.3

    1

    5

    4

    32

    4.3

    0 3.30.175

  • 60 Zbirka rijeenih zadataka

    3.7. Zadatak

    Zadan je sklop prema slici. Grafiki prikaite ovisnosti napona UX i struja I, I1 i I2 o naponu Uul. Prikaite prijenosnu karakteristiku. Pretpostavite da su svi elementi idealni. Objasnite kako se moe odrediti UIHmin ovog sklopa i komentirajte njegov utjecaj na faktor grananja izlaza. R=1k, RB=5k, RC=1k, UCC=5V, UBEzas=0.7V, UCEzas=0.3V, UD=UD1=0.7V, hFE=100.

    +UCC

    R

    +UCC

    UulA

    UulB

    D1

    RC

    RBUizl

    Kao pomo u rjeavanju zadatka oznaimo na slici jo i potrebne struje i napone. Isto tako, pretpostavimo da su sve ulazne diode trajno blokirane (tako da ne smetaju) osim jedne na koju dovodimo ulazni napon.

    +UCC

    UX

    Uizl

    R

    +UCC

    Uul

    UD1

    D11I

    RC

    RB

    UD

    2II

    UBEzas

    Krenimo u analizu uz pretpostavku da je ulazni napon Uul=UCC. Ako pretpostavimo da je time dioda blokirana (ne vodi), tada ona ne utjee na napon UX. Budui da je tada baza tranzistora preko otpora R i RB i diode D1 spojena na UCC, tranzistor oito vodi, pa vrijedi:

    VUD 7.01 = , VU BEzas 7.0= ,

    mAkkkRRUUU

    IIB

    BEzasDCC 6.066.3

    517.07.051

    2 ==+

    =

    +

    == , mAI 01 =

    Napon UX tada se moe izraunati kao napon dijelila s dva izvora (jedan od prethodnih zadataka):

  • Osnovni logiki sklopovi. 61

    ( ) ( )( )( ) ( )( )

    Vkk

    kRR

    RUUUUUU

    B

    BDBEzasCCDBEzasX

    4.451

    57.07.057.07.0

    11

    =

    ++++=

    ++++=

    Budui da vrijedi izraz:

    DulX UUU +=

    slijedi da je napon na diodi D u ovom sluaju negativan:

    VUUU ulXD 6.054.4 ===

    Zbog toga dioda D ne vodi, ne utjee na napon UX pa smo potvrdili prethodnu pretpostavku i valjanost rauna.

    Ulazni napon bez ikakvih promjena u radu sklopa moemo smanjivati sve do trenutka kada napon na diodi UD ne dosegne 0.7V, to se dogodi pri naponu:

    VUUU DXul 7.37.04.4 ===

    Od tog trenutka daljnje smanjivanje ulaznog napona povlai za sobom pad napona UX (UD je konstantnih 0.7V a vrijedi DulX UUU += ), porast struja I i I1 te pad struje I2.

    Kad napon UX padne na vrijednost ispod 1.4V, tj.:

    VUUU BEzasDX 4.11 =+<

    dioda D1 i tranzistor se iskljuuju, ime struja I2 postaje 0. Ovo se dogaa kod ulaznog napona:

    VUUU DXul 7.07.04.1 === .

    Od tog trenutka nadalje vrijede sljedee relacije za struje: ( )

    RUUU

    II DulCC+

    == 1 , mAI 02 =

    U toki iskljuenja tranzistora (UX=1.4V) struje I i I1 su iznosile:

    mAkkRUU

    II XCC 6.31

    6.31

    4.151 ==

    =

    ==

    Smanjivanjem ulaznog napona do vrijednosti 0V napon UX postaje 0.7V a struje:

  • 62 Zbirka rijeenih zadataka

    mAkkRUU

    II XCC 3.41

    3.41

    7.051 ==

    =

    == .

    Prijenosnu karakteristiku dobit emo praenjem izlaznog napona, to e odgovarati praenju struje I2 koja e ovdje odgovarati struji baze tranzistora, pa e u nastavku biti preimenovana u IB.

    Ponimo analizu opet od Uul=UCC. Tranzistor je u zasienju, IB=0.6 mA, UCEzas=0.3V.

    mAkRUU

    IC

    CEzasCCC 7.41

    3.05=

    =

    =

    Kako je mAhImAI FEBC 607.4 == tranzistor je doista u zasienju.

    Dok je tranzistor u zasienju, izlazni se napon ne mijenja (iznosi UCEzas). Kritini trenutak nastupa kada se dogodi:

    mAhI

    IhImAIFE

    CBFEBC 047.0100

    7.47.4 ===== .

    Naime, daljnjim smanjivanjem struje IB tranzistor vie nije u zasienju ve je u NAP-u, i izlazni napon poinje rasti. Pogledajmo kod kojeg se ulaznog napona dogaa ova promjena. Kombiniranjem izraza za napon UX:

    DulX UUU +=

    s izrazom za struju IB=I2:

    B

    BEzasDXB R

    UUUI

    =1

    uz IB=0.047mA slijedi:

    VUUURIUR

    UUUUI BEzasDDBBul

    B

    BEzasDDulB 935.01

    1=++=+=

    Padom ulaznog napona pada i struja baze, pa je struja kolektora odreena izrazom:

    BFEC IhI =

    i pada zajedno sa strujom baze. Kako mora vrijediti naponska jednadba izlaznog kruga:

    CCCCCEizl RIUUU ==

    padom kolektorske struje izlazni napon raste. Ovaj porast izlaznog napona ii e do iznosa UCC u trenutku kada se tranzistor iskljuuje uz struju baze 0 mA (to smo ve

  • Osnovni logiki sklopovi. 63

    prethodno izraunali da se dogaa kod napona Uul=0.7V). Daljnjim smanjivanjem ulaznog napona izlazni se napon ne mijenja.

    Prijenosna karakteristika prikazana je u nastavku.

    Uul [V]

    Uizl [V]

    51 2 3 4

    1

    5

    4

    32

    0.30

    0.9350.7

    Traeni grafiki prikazi slijede.

    Uul [V]

    UD [V]

    51 2 3 43.7

    1

    5

    4

    32

    0.70

    -1-0.6

    Uul [V]

    UX [V]

    51 2 3 43.7

    1

    5

    4

    3

    2

    0.7

    0

    Uul [V]

    I

    [mA]

    51 2 3 4

    1

    5

    4

    3

    2

    4.3

    0 3.7

    0.6

  • 64 Zbirka rijeenih zadataka

    Uul [V]

    I1 [mA]

    51 2 3 4 4.3

    1

    5

    4

    3

    2

    4.3

    0 3.7

    Uul [V]

    I2 [mA]

    51 2 3 4 4.3

    1

    5

    4

    3

    2

    4.3

    0 3.7

    0.6

    Na odreivanje napona UIHmin utjee vie faktora. Prvi i osnovni faktor jest ispravan rad sklopa. U ovom zadatku analiziran je diodni NI sklop. Kod tog sklopa uz pretpostavku da su svi ulazi na visokoj logikoj razini, izlaz mora biti nizak. Pogledamo li prijenosnu karakteristiku sklopa, odmah vidimo da za taj sluaj ulazni napon ne smije biti manji od 0.935V (jer inae napon izlaza vie nije nizak, tj. 0.3V). Ovime je odreen minimalni iznos parametra UIHmin. Meutim, bitno je za uoiti da pri naponu od 0.935V kroz bazu tee upravo dovoljna struja da podri kolektorsku struju koju generira RC. Prikljuivanjem bilo kakvog optereenja na izlaz sklopa (koje generira dodatnu struju) struja koju bi tranzistor trebao "progutati" postala bi vea od one koju podrava bazna struja. To se, naravno, nee dogoditi, ve e izlazni napon porasti (tranzistor ulazi u NAP) kako bi osigurao da i dalje kroz tranzistor tee onolika struja kolika je podrana baznom strujom. Vidimo dakle da je faktor grananja uz ovaj odabir UIHmin jednak 0. Zbog toga se pri odabiru vrijednosti za parametar UIHmin uzimaju u obzir i drugi parametri poput eljenog faktora grananja i sl. Naime, poveanjem vrijednosti UIHmin poveava se i struja baze koja e u najgorem sluaju tei kroz tranzistor, i time osigurati eljeni faktor grananja. Dakako, slino razmatranje vrijedi i za odabir ostalih parametara sklopa.

  • Booleova algebra. 65

    4. Booleova algebra.

    4.1. Zadatak

    Navedite aksiome Booleove algebre. Njihovom uporabom pokaite da vrijedi: ( ) BABAAA =+

    A.1. Neutralni element a) AA =+ 0 b) AA =1

    A.2. Komplement a) 1=+ AA b) 0= AA

    A.3. Komutativnost a) ABBA +=+ b) ABBA =

    A.4. Distributivnost a) CABACBA +=+ )( b) )()( CABACBA ++=+

    ( )BAAA + ( ) ( )( )BAAAA ++= A.4b ( ) ( )( )BAAAA ++= A.3a ( )( )BAA += 1 A.2a ( )( )1+= BAA A.3b ( )BAA += A.1b ( ) ( )BAAA += A.4a ( )BA += 0 A.2b ( ) 0+= BA A.3a BA = A.1a

    Za vjebu.

    Ponovite postupak ali u prvom koraku primijenite A.4a.

  • 66 Zbirka rijeenih zadataka

    4.2. Zadatak

    Koristei samo aksiome A.1. A.4. pokaite da vrijedi 00 =A . Za svaki korak navedite aksiome koje koristite.

    0A 00 += A A.1 AAA += 0 A.2 )0( AA += A.4 AA = A.1 0= A.2

    4.3. Zadatak

    Koristei samo aksiome A.1. A.4. pokaite da vrijedi AAA = . Za svaki korak navedite aksiome koje koristite.

    AA 0+= AA A.1 AAAA += A.2 )( AAA += A.4 1= A A.2 A= A.1

    4.4. Zadatak